summaryrefslogtreecommitdiffstats
path: root/meta-xilinx-core
diff options
context:
space:
mode:
authorMark Hatle <mark.hatle@amd.com>2022-09-13 11:29:02 -0700
committerMark Hatle <mark.hatle@amd.com>2022-09-13 11:29:02 -0700
commit454ce5a32cf6052d630b1dc542a5289fcad11500 (patch)
tree9bfeada2851422f2324ed553ed8fbcfefc7ea382 /meta-xilinx-core
parent22bdaf77674cf219e8ce899dac9263b63ea9f432 (diff)
parent93c211cefd1d7bf700d2642a9df53a085c2a9bf1 (diff)
downloadmeta-xilinx-454ce5a32cf6052d630b1dc542a5289fcad11500.tar.gz
Merge branch 'kirkstone-next'
Signed-off-by: Mark Hatle <mark.hatle@amd.com>
Diffstat (limited to 'meta-xilinx-core')
-rw-r--r--meta-xilinx-core/README.qemu.md2
-rw-r--r--meta-xilinx-core/classes/fpgamanager_custom.bbclass3
-rw-r--r--meta-xilinx-core/conf/layer.conf15
-rw-r--r--meta-xilinx-core/conf/local.conf.sample4
-rw-r--r--meta-xilinx-core/conf/machine/include/machine-xilinx-default.inc7
-rw-r--r--meta-xilinx-core/conf/machine/include/soc-versal.inc1
-rw-r--r--meta-xilinx-core/conf/machine/microblaze-generic.conf3
-rw-r--r--meta-xilinx-core/conf/machine/versal-generic.conf1
-rw-r--r--meta-xilinx-core/conf/machine/versal-net-generic.conf30
-rw-r--r--meta-xilinx-core/conf/machine/zynq-generic.conf3
-rw-r--r--meta-xilinx-core/conf/machine/zynqmp-generic.conf1
-rw-r--r--meta-xilinx-core/dynamic-layers/meta-xilinx-tools/recipes-bsp/device-tree/device-tree.bbappend18
-rw-r--r--meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware.inc8
-rw-r--r--meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2022.2.bb8
-rw-r--r--meta-xilinx-core/recipes-bsp/bootgen/bootgen_1.0.bb4
-rw-r--r--meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr/dfx-mgr.service15
-rw-r--r--meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_1.0.bb16
-rw-r--r--meta-xilinx-core/recipes-bsp/fpga-manager-script/files/fpgautil.c9
-rw-r--r--meta-xilinx-core/recipes-bsp/fpga-manager-script/fpga-manager-script_1.0.bb2
-rw-r--r--meta-xilinx-core/recipes-bsp/libdfx/libdfx_1.0.bb4
-rw-r--r--meta-xilinx-core/recipes-bsp/platform-init/platform-init.bb2
-rw-r--r--meta-xilinx-core/recipes-bsp/pmu-firmware/pmu-rom-native_2022.2.bb27
-rw-r--r--meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-dev.bb2
-rw-r--r--meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx.inc4
-rw-r--r--meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx_2022.2.bb19
-rw-r--r--meta-xilinx-core/recipes-bsp/u-boot/u-boot-zynq-scr.bb16
-rw-r--r--meta-xilinx-core/recipes-bsp/u-boot/u-boot-zynq-scr/boot.cmd.generic.root98
-rw-r--r--meta-xilinx-core/recipes-connectivity/iproute2/iproute2.inc2
-rw-r--r--meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees.inc5
-rw-r--r--meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2022.1.bb3
-rw-r--r--meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2022.2.bb6
-rw-r--r--meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-helper-native_1.0.bb31
-rw-r--r--meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-native_2022.2.bb7
-rw-r--r--meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_2022.1.bb2
-rw-r--r--meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_2022.2.bb20
-rw-r--r--meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx.inc13
-rw-r--r--meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2022.2.bb17
-rw-r--r--meta-xilinx-core/recipes-graphics/mali/kernel-module-mali.bb2
-rw-r--r--meta-xilinx-core/recipes-graphics/wayland/files/weston.service4
-rwxr-xr-xmeta-xilinx-core/recipes-kernel/dp/kernel-module-dp_git.bb6
-rw-r--r--meta-xilinx-core/recipes-kernel/linux/linux-microblaze.inc5
-rw-r--r--meta-xilinx-core/recipes-kernel/linux/linux-xlnx.inc6
-rw-r--r--meta-xilinx-core/recipes-kernel/linux/linux-xlnx/microblaze_generic.cfg18
-rw-r--r--meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2022.2.bb9
-rw-r--r--meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2022.1.bb (renamed from meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu.bb)2
-rw-r--r--meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2022.2.bb40
-rw-r--r--meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2022.1.bb (renamed from meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx.bb)2
-rw-r--r--meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2022.2.bb50
-rw-r--r--meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2022.1.bb (renamed from meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx.bb)2
-rw-r--r--meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2022.2.bb42
-rw-r--r--meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2022.1.bb (renamed from meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware.bb)2
-rw-r--r--meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2022.2.bb39
-rw-r--r--meta-xilinx-core/recipes-xrt/xrt/xrt.inc7
-rw-r--r--meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb8
54 files changed, 561 insertions, 111 deletions
diff --git a/meta-xilinx-core/README.qemu.md b/meta-xilinx-core/README.qemu.md
index d0040b09..d3a02a90 100644
--- a/meta-xilinx-core/README.qemu.md
+++ b/meta-xilinx-core/README.qemu.md
@@ -12,7 +12,7 @@ to make this available: pmu-rom-native.
12The license on the software is Xilinx proprietary, so you may be required to 12The license on the software is Xilinx proprietary, so you may be required to
13enable the approprate LICENSE_FLAGS_WHITELIST to trigger the download. 13enable the approprate LICENSE_FLAGS_WHITELIST to trigger the download.
14The license itself is available within the download at the URL referred to in 14The license itself is available within the download at the URL referred to in
15meta-xilinx-core/recipes-bsp/pmu-firmware/pmu-rom-native_2022.1.bb. 15meta-xilinx-core/recipes-bsp/pmu-firmware/pmu-rom-native_2022.2.bb.
16 16
17Add the following to your local.conf to acknowledge you accept the proprietary 17Add the following to your local.conf to acknowledge you accept the proprietary
18xilinx license. 18xilinx license.
diff --git a/meta-xilinx-core/classes/fpgamanager_custom.bbclass b/meta-xilinx-core/classes/fpgamanager_custom.bbclass
index 848727fb..555e2a66 100644
--- a/meta-xilinx-core/classes/fpgamanager_custom.bbclass
+++ b/meta-xilinx-core/classes/fpgamanager_custom.bbclass
@@ -1,6 +1,3 @@
1LICENSE = "MIT"
2LIC_FILES_CHKSUM = "file://${COMMON_LICENSE_DIR}/MIT;md5=0835ade698e0bcf8506ecda2f7b4f302"
3
4inherit devicetree 1inherit devicetree
5 2
6DEPENDS = "dtc-native bootgen-native" 3DEPENDS = "dtc-native bootgen-native"
diff --git a/meta-xilinx-core/conf/layer.conf b/meta-xilinx-core/conf/layer.conf
index 5c27aa73..33dd732c 100644
--- a/meta-xilinx-core/conf/layer.conf
+++ b/meta-xilinx-core/conf/layer.conf
@@ -18,6 +18,8 @@ meta-python:${LAYERDIR}/dynamic-layers/meta-python/recipes-*/*/*.bb \
18meta-python:${LAYERDIR}/dynamic-layers/meta-python/recipes-*/*/*.bbappend \ 18meta-python:${LAYERDIR}/dynamic-layers/meta-python/recipes-*/*/*.bbappend \
19virtualization-layer:${LAYERDIR}/dynamic-layers/virtualization-layer/recipes-*/*/*.bb \ 19virtualization-layer:${LAYERDIR}/dynamic-layers/virtualization-layer/recipes-*/*/*.bb \
20virtualization-layer:${LAYERDIR}/dynamic-layers/virtualization-layer/recipes-*/*/*.bbappend \ 20virtualization-layer:${LAYERDIR}/dynamic-layers/virtualization-layer/recipes-*/*/*.bbappend \
21xilinx-tools:${LAYERDIR}/dynamic-layers/meta-xilinx-tools/recipes-*/*/*.bb \
22xilinx-tools:${LAYERDIR}/dynamic-layers/meta-xilinx-tools/recipes-*/*/*.bbappend \
21" 23"
22 24
23LAYERDEPENDS_xilinx = "core" 25LAYERDEPENDS_xilinx = "core"
@@ -42,4 +44,15 @@ SIGGEN_EXCLUDE_SAFE_RECIPE_DEPS += " \
42 *->xserver-xorg \ 44 *->xserver-xorg \
43" 45"
44 46
45XILINX_RELEASE_VERSION = "v2022.1" 47XILINX_RELEASE_VERSION ??= "v2022.2"
48
49BUILDCFG_VARS:append = " XILINX_RELEASE_VERSION"
50
51PREFERRED_VERSION_qemu-xilinx ?= "v6.1.0-xilinx-${XILINX_RELEASE_VERSION}%"
52PREFERRED_VERSION_qemu-xilinx-native ?= "v6.1.0-xilinx-${XILINX_RELEASE_VERSION}%"
53PREFERRED_VERSION_qemu-xilinx-system-native ?= "v6.1.0-xilinx-${XILINX_RELEASE_VERSION}%"
54PREFERRED_VERSION_qemu-devicetrees ?= "xilinx-${XILINX_RELEASE_VERSION}%"
55PREFERRED_VERSION_arm-trusted-firmware ?= "2.6-xilinx-${XILINX_RELEASE_VERSION}%"
56PREFERRED_VERSION_u-boot-xlnx ?= "v2021.01-xilinx-${XILINX_RELEASE_VERSION}%"
57PREFERRED_VERSION_pmu-rom-native ?= "${@d.getVar("XILINX_RELEASE_VERSION").replace('v','')}"
58PREFERRED_VERSION_linux-xlnx ?= "${@'5.15.19' if d.getVar("XILINX_RELEASE_VERSION") == 'v2022.1' else '5.15.36'}%"
diff --git a/meta-xilinx-core/conf/local.conf.sample b/meta-xilinx-core/conf/local.conf.sample
index a7173fb8..10134d53 100644
--- a/meta-xilinx-core/conf/local.conf.sample
+++ b/meta-xilinx-core/conf/local.conf.sample
@@ -206,11 +206,11 @@ BB_DISKMON_DIRS ??= "\
206#file://.* http://someserver.tld/share/sstate/PATH;downloadfilename=PATH \n \ 206#file://.* http://someserver.tld/share/sstate/PATH;downloadfilename=PATH \n \
207#file://.* file:///some/local/dir/sstate/PATH" 207#file://.* file:///some/local/dir/sstate/PATH"
208 208
209XILINX_VER_MAIN = "2022.1" 209XILINX_RELEASE_VERSION = "v2022.2"
210 210
211# Uncomment below lines to provide path for custom xsct trim 211# Uncomment below lines to provide path for custom xsct trim
212# 212#
213#EXTERNAL_XSCT_TARBALL = "/proj/yocto/xsct-trim/2022.1_xsct_daily_latest" 213#EXTERNAL_XSCT_TARBALL = "/proj/yocto/xsct-trim/2022.2_xsct_daily_latest"
214#VALIDATE_XSCT_CHECKSUM = '0' 214#VALIDATE_XSCT_CHECKSUM = '0'
215 215
216# 216#
diff --git a/meta-xilinx-core/conf/machine/include/machine-xilinx-default.inc b/meta-xilinx-core/conf/machine/include/machine-xilinx-default.inc
index a0c5afeb..7d3d45f3 100644
--- a/meta-xilinx-core/conf/machine/include/machine-xilinx-default.inc
+++ b/meta-xilinx-core/conf/machine/include/machine-xilinx-default.inc
@@ -37,6 +37,13 @@ XSERVER ?= " \
37 ${XSERVER_EXT} \ 37 ${XSERVER_EXT} \
38 " 38 "
39 39
40# Automatically add WKS_FILE_DEPENDS based on the configuration
41WKS_FILE_DEPENDS:append = "${@bb.utils.contains('IMAGE_BOOT_FILES', 'boot.bin', ' xilinx-bootbin', '', d)}"
42WKS_FILE_DEPENDS:append = "${@bb.utils.contains('IMAGE_BOOT_FILES', 'system.dtb', ' virtual/dtb', '', d)}"
43WKS_FILE_DEPENDS:append = "${@bb.utils.contains('IMAGE_BOOT_FILES', 'boot.scr', ' u-boot-zynq-scr', '', d)}"
44WKS_FILE_DEPENDS:append = "${@bb.utils.contains('IMAGE_BOOT_FILES', 'uEnv.txt', ' u-boot-zynq-uenv', '', d)}"
45WKS_FILE_DEPENDS:append = "${@bb.utils.contains('IMAGE_BOOT_FILES', 'atf-uboot.ub', ' arm-trusted-firmware', '', d)}"
46
40IMAGE_BOOT_FILES ?= "${@get_default_image_boot_files(d)}" 47IMAGE_BOOT_FILES ?= "${@get_default_image_boot_files(d)}"
41 48
42def get_default_image_boot_files(d): 49def get_default_image_boot_files(d):
diff --git a/meta-xilinx-core/conf/machine/include/soc-versal.inc b/meta-xilinx-core/conf/machine/include/soc-versal.inc
index 40145963..dcf3796e 100644
--- a/meta-xilinx-core/conf/machine/include/soc-versal.inc
+++ b/meta-xilinx-core/conf/machine/include/soc-versal.inc
@@ -7,6 +7,7 @@ SOC_FAMILY ?= "versal"
7# "hbm" - Versal HMB Devices 7# "hbm" - Versal HMB Devices
8# "ai-core" - Versal AI-core Devices 8# "ai-core" - Versal AI-core Devices
9# "ai-edge" - Versal AI-Edge Devices 9# "ai-edge" - Versal AI-Edge Devices
10# "net" - Versal Net Devices
10 11
11SOC_VARIANT ?= "prime" 12SOC_VARIANT ?= "prime"
12 13
diff --git a/meta-xilinx-core/conf/machine/microblaze-generic.conf b/meta-xilinx-core/conf/machine/microblaze-generic.conf
index c0e41948..92412681 100644
--- a/meta-xilinx-core/conf/machine/microblaze-generic.conf
+++ b/meta-xilinx-core/conf/machine/microblaze-generic.conf
@@ -32,7 +32,7 @@ MACHINE_FEATURES = ""
32KERNEL_IMAGETYPE ?= "linux.bin.ub" 32KERNEL_IMAGETYPE ?= "linux.bin.ub"
33KERNEL_IMAGETYPES = "" 33KERNEL_IMAGETYPES = ""
34 34
35SERIAL_CONSOLES ?= "115200;ttyS0" 35SERIAL_CONSOLES ?= "115200;ttyUL0"
36 36
37MACHINE_ESSENTIAL_EXTRA_RDEPENDS += "device-tree" 37MACHINE_ESSENTIAL_EXTRA_RDEPENDS += "device-tree"
38 38
@@ -44,6 +44,7 @@ EXTRA_IMAGEDEPENDS += "libyaml-native python3-cython-native python3-pyyaml-nativ
44 44
45UBOOT_MACHINE ?= "microblaze-generic_defconfig" 45UBOOT_MACHINE ?= "microblaze-generic_defconfig"
46UBOOT_INITIAL_ENV = "" 46UBOOT_INITIAL_ENV = ""
47BOOTMODE ?= "generic.root"
47 48
48HDF_MACHINE = "kc705-microblazeel" 49HDF_MACHINE = "kc705-microblazeel"
49IMAGE_FSTYPES += "cpio.gz" 50IMAGE_FSTYPES += "cpio.gz"
diff --git a/meta-xilinx-core/conf/machine/versal-generic.conf b/meta-xilinx-core/conf/machine/versal-generic.conf
index b737f1d1..9b9ebf31 100644
--- a/meta-xilinx-core/conf/machine/versal-generic.conf
+++ b/meta-xilinx-core/conf/machine/versal-generic.conf
@@ -20,6 +20,7 @@ MACHINE_FEATURES += "rtc ext2 ext3 vfat usbhost"
20EXTRA_IMAGEDEPENDS += "libyaml-native python3-cython-native python3-pyyaml-native" 20EXTRA_IMAGEDEPENDS += "libyaml-native python3-cython-native python3-pyyaml-native"
21 21
22UBOOT_MACHINE ?= "xilinx_versal_virt_defconfig" 22UBOOT_MACHINE ?= "xilinx_versal_virt_defconfig"
23BOOTMODE ?= "generic.root"
23 24
24SERIAL_CONSOLES ?= "115200;ttyAMA0" 25SERIAL_CONSOLES ?= "115200;ttyAMA0"
25 26
diff --git a/meta-xilinx-core/conf/machine/versal-net-generic.conf b/meta-xilinx-core/conf/machine/versal-net-generic.conf
new file mode 100644
index 00000000..1941235a
--- /dev/null
+++ b/meta-xilinx-core/conf/machine/versal-net-generic.conf
@@ -0,0 +1,30 @@
1#@TYPE: Machine
2#@NAME: Versal Net Generic
3##@DESCRIPTION: Versal Net
4
5#### Preamble
6MACHINEOVERRIDES =. "${@['', 'versal-net-generic:']['versal-net-generic' != '${MACHINE}']}"
7#### Regular settings follow
8
9SOC_VARIANT = "net"
10
11# Must be set first, or versal-generic will set it
12UBOOT_MACHINE ?= "xilinx_versal_net_virt_defconfig"
13
14require conf/machine/versal-generic.conf
15
16#### REMOVE THE FOLLOWING WHEN BOOTGEN IS SYNCED
17# required for bootgen native/nativesdk
18MACHINEOVERRIDES:class-native = "versal-net"
19MACHINEOVERRIDES:class-nativesdk = "versal-net"
20#### REMOVE THE ABOVE
21
22HDF_MACHINE = "versal-net-generic"
23
24QEMU_HW_DTB_PS = "${QEMU_HW_DTB_PATH}/board-versal-net-psx-spp-1.4.dtb"
25QEMU_HW_DTB_PMC = "${QEMU_HW_DTB_PATH}/board-versal-pmx-virt.dtb"
26
27#### No additional settings should be after the Postamble
28#### Postamble
29PACKAGE_EXTRA_ARCHS:append = "${@['', ' versal_net_generic']['versal-net-generic' != "${MACHINE}"]}"
30
diff --git a/meta-xilinx-core/conf/machine/zynq-generic.conf b/meta-xilinx-core/conf/machine/zynq-generic.conf
index 3c30c362..e8ecfb34 100644
--- a/meta-xilinx-core/conf/machine/zynq-generic.conf
+++ b/meta-xilinx-core/conf/machine/zynq-generic.conf
@@ -20,6 +20,7 @@ MACHINE_FEATURES += "rtc ext2 ext3 vfat usbhost usbgadget"
20EXTRA_IMAGEDEPENDS += "libyaml-native python3-cython-native python3-pyyaml-native" 20EXTRA_IMAGEDEPENDS += "libyaml-native python3-cython-native python3-pyyaml-native"
21 21
22UBOOT_MACHINE ?= "xilinx_zynq_virt_defconfig" 22UBOOT_MACHINE ?= "xilinx_zynq_virt_defconfig"
23BOOTMODE ?= "generic.root"
23 24
24SERIAL_CONSOLES ?= "115200;ttyPS0" 25SERIAL_CONSOLES ?= "115200;ttyPS0"
25 26
@@ -39,8 +40,6 @@ WKS_FILES ?= "sdimage-bootpart.wks"
39QB_MEM = "-m 1024" 40QB_MEM = "-m 1024"
40QB_NETWORK_DEVICE = "-net nic,netdev=net0,macaddr=@MAC@" 41QB_NETWORK_DEVICE = "-net nic,netdev=net0,macaddr=@MAC@"
41 42
42QB_KERNEL_CMDLINE = "none"
43
44QB_KERNEL_ROOT = "/dev/mmcblk0p2" 43QB_KERNEL_ROOT = "/dev/mmcblk0p2"
45 44
46# Replicate BootROM like behaviour, having loaded SPL and PMU(ROM+FW) 45# Replicate BootROM like behaviour, having loaded SPL and PMU(ROM+FW)
diff --git a/meta-xilinx-core/conf/machine/zynqmp-generic.conf b/meta-xilinx-core/conf/machine/zynqmp-generic.conf
index 15fe9d11..32de4d50 100644
--- a/meta-xilinx-core/conf/machine/zynqmp-generic.conf
+++ b/meta-xilinx-core/conf/machine/zynqmp-generic.conf
@@ -31,6 +31,7 @@ EXTRA_IMAGEDEPENDS += "libyaml-native python3-cython-native python3-pyyaml-nativ
31 31
32UBOOT_MACHINE ?= "xilinx_zynqmp_virt_defconfig" 32UBOOT_MACHINE ?= "xilinx_zynqmp_virt_defconfig"
33SPL_BINARY ?= "spl/boot.bin" 33SPL_BINARY ?= "spl/boot.bin"
34BOOTMODE ?= "generic.root"
34 35
35# Default SD image build onfiguration, use qemu-sd to pad 36# Default SD image build onfiguration, use qemu-sd to pad
36IMAGE_CLASSES += "image-types-xilinx-qemu" 37IMAGE_CLASSES += "image-types-xilinx-qemu"
diff --git a/meta-xilinx-core/dynamic-layers/meta-xilinx-tools/recipes-bsp/device-tree/device-tree.bbappend b/meta-xilinx-core/dynamic-layers/meta-xilinx-tools/recipes-bsp/device-tree/device-tree.bbappend
new file mode 100644
index 00000000..151bd0e0
--- /dev/null
+++ b/meta-xilinx-core/dynamic-layers/meta-xilinx-tools/recipes-bsp/device-tree/device-tree.bbappend
@@ -0,0 +1,18 @@
1# Set Board DTSI files for generic manchine configuration files based on HDF_MACHINE.
2
3# microblaze-generic.conf uses HDF_MACHINE = "kc705-microblazeel", Hence set kc705-full dtsi file.
4YAML_MAIN_MEMORY_CONFIG:microblaze-generic ?= "mig_7series_0"
5YAML_CONSOLE_DEVICE_CONFIG:microblaze-generic ?= "axi_uartlite_0"
6YAML_DT_BOARD_FLAGS:microblaze-generic ?= "{BOARD kc705-full}"
7
8# zynq-generic.conf uses HDF_MACHINE = "zc702-zynq7", Hence set zc702 dtsi file.
9YAML_DT_BOARD_FLAGS:zynq-generic ?= "{BOARD zc702}"
10
11# zynqmp-generic.conf uses HDF_MACHINE = "zcu102-zynqmp", Hence set zcu102-rev1.0 dtsi file.
12YAML_DT_BOARD_FLAGS:zynqmp-generic ?= "{BOARD zcu102-rev1.0}"
13
14# versal-generic.conf file uses HDF_MACHINE = "vck190-versal", Hence set versal-vck190-reva-x-ebm-01-reva dtsi file.
15YAML_DT_BOARD_FLAGS:versal-generic ?= "{BOARD versal-vck190-reva-x-ebm-01-reva}"
16
17# versal-net-generic.conf uses HDF_MACHINE = "versal-net-generic", Hence set versal-net-ipp-rev1.9 dtsi file.
18YAML_DT_BOARD_FLAGS:versal-net-generic ?= "{BOARD versal-net-ipp-rev1.9}"
diff --git a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware.inc b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware.inc
index c2d272ef..a8f512a7 100644
--- a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware.inc
+++ b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware.inc
@@ -14,9 +14,8 @@ B = "${WORKDIR}/build"
14 14
15SYSROOT_DIRS += "/boot" 15SYSROOT_DIRS += "/boot"
16 16
17XILINX_RELEASE_VERSION ?= ""
18ATF_VERSION ?= "2.2" 17ATF_VERSION ?= "2.2"
19ATF_VERSION_EXTENSION ?= "-xilinx-${XILINX_RELEASE_VERSION}" 18ATF_VERSION_EXTENSION ?= "-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}"
20PV = "${ATF_VERSION}${ATF_VERSION_EXTENSION}+git${SRCPV}" 19PV = "${ATF_VERSION}${ATF_VERSION_EXTENSION}+git${SRCPV}"
21 20
22BRANCH ?= "" 21BRANCH ?= ""
@@ -36,6 +35,7 @@ PACKAGE_ARCH = "${MACHINE_ARCH}"
36 35
37PLATFORM:zynqmp = "zynqmp" 36PLATFORM:zynqmp = "zynqmp"
38PLATFORM:versal = "versal" 37PLATFORM:versal = "versal"
38PLATFORM:versal-net = "versal_net"
39 39
40# requires CROSS_COMPILE set by hand as there is no configure script 40# requires CROSS_COMPILE set by hand as there is no configure script
41export CROSS_COMPILE="${TARGET_PREFIX}" 41export CROSS_COMPILE="${TARGET_PREFIX}"
@@ -55,6 +55,7 @@ DEBUG_ATF:versal ?= "1"
55 55
56EXTRA_OEMAKE:append:zynqmp = "${@' ZYNQMP_CONSOLE=${ATF_CONSOLE}' if d.getVar('ATF_CONSOLE', True) != '' else ''}" 56EXTRA_OEMAKE:append:zynqmp = "${@' ZYNQMP_CONSOLE=${ATF_CONSOLE}' if d.getVar('ATF_CONSOLE', True) != '' else ''}"
57EXTRA_OEMAKE:append:versal = "${@' VERSAL_CONSOLE=${ATF_CONSOLE}' if d.getVar('ATF_CONSOLE', True) != '' else ''}" 57EXTRA_OEMAKE:append:versal = "${@' VERSAL_CONSOLE=${ATF_CONSOLE}' if d.getVar('ATF_CONSOLE', True) != '' else ''}"
58EXTRA_OEMAKE:append:versal-net = "${@' VERSAL_NET_CONSOLE=${ATF_CONSOLE}' if d.getVar('ATF_CONSOLE', True) != '' else ''}"
58EXTRA_OEMAKE:append = " ${@bb.utils.contains('DEBUG_ATF', '1', ' DEBUG=${DEBUG_ATF}', '', d)}" 59EXTRA_OEMAKE:append = " ${@bb.utils.contains('DEBUG_ATF', '1', ' DEBUG=${DEBUG_ATF}', '', d)}"
59 60
60OUTPUT_DIR = "${@bb.utils.contains('DEBUG_ATF', '1', '${B}/${PLATFORM}/debug', '${B}/${PLATFORM}/release', d)}" 61OUTPUT_DIR = "${@bb.utils.contains('DEBUG_ATF', '1', '${B}/${PLATFORM}/debug', '${B}/${PLATFORM}/release', d)}"
@@ -68,6 +69,9 @@ EXTRA_OEMAKE:append:zynqmp = "${@' ZYNQMP_ATF_MEM_SIZE=${ATF_MEM_SIZE}' if d.get
68EXTRA_OEMAKE:append:versal = "${@' VERSAL_ATF_MEM_BASE=${ATF_MEM_BASE}' if d.getVar('ATF_MEM_BASE', True) != '' else ''}" 69EXTRA_OEMAKE:append:versal = "${@' VERSAL_ATF_MEM_BASE=${ATF_MEM_BASE}' if d.getVar('ATF_MEM_BASE', True) != '' else ''}"
69EXTRA_OEMAKE:append:versal = "${@' VERSAL_ATF_MEM_SIZE=${ATF_MEM_SIZE}' if d.getVar('ATF_MEM_SIZE', True) != '' else ''}" 70EXTRA_OEMAKE:append:versal = "${@' VERSAL_ATF_MEM_SIZE=${ATF_MEM_SIZE}' if d.getVar('ATF_MEM_SIZE', True) != '' else ''}"
70 71
72EXTRA_OEMAKE:append:versal-net = "${@' VERSAL_NET_ATF_MEM_BASE=${ATF_MEM_BASE}' if d.getVar('ATF_MEM_BASE', True) != '' else ''}"
73EXTRA_OEMAKE:append:versal-net = "${@' VERSAL_NET_ATF_MEM_SIZE=${ATF_MEM_SIZE}' if d.getVar('ATF_MEM_SIZE', True) != '' else ''}"
74
71ATF_PROVENCORE = "SPD=pncd SPD_PNCD_NS_IRQ=51 ZYNQMP_BL32_MEM_BASE=0x70000000 ZYNQMP_BL32_MEM_SIZE=0x0FF00000" 75ATF_PROVENCORE = "SPD=pncd SPD_PNCD_NS_IRQ=51 ZYNQMP_BL32_MEM_BASE=0x70000000 ZYNQMP_BL32_MEM_SIZE=0x0FF00000"
72EXTRA_OEMAKE:append = "${@bb.utils.contains('MACHINE_FEATURES', 'provencore', ' ${ATF_PROVENCORE}', '', d)}" 76EXTRA_OEMAKE:append = "${@bb.utils.contains('MACHINE_FEATURES', 'provencore', ' ${ATF_PROVENCORE}', '', d)}"
73 77
diff --git a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2022.2.bb b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2022.2.bb
new file mode 100644
index 00000000..7e423b9c
--- /dev/null
+++ b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2022.2.bb
@@ -0,0 +1,8 @@
1ATF_VERSION = "2.6"
2SRCREV = "85544c0159e216935e40174dadfed1296b6042bd"
3BRANCH = "xlnx_rebase_v2.6"
4LIC_FILES_CHKSUM = "file://license.rst;md5=1dd070c98a281d18d9eefd938729b031"
5
6
7include arm-trusted-firmware.inc
8
diff --git a/meta-xilinx-core/recipes-bsp/bootgen/bootgen_1.0.bb b/meta-xilinx-core/recipes-bsp/bootgen/bootgen_1.0.bb
index 101d4ca2..f80e1feb 100644
--- a/meta-xilinx-core/recipes-bsp/bootgen/bootgen_1.0.bb
+++ b/meta-xilinx-core/recipes-bsp/bootgen/bootgen_1.0.bb
@@ -10,8 +10,8 @@ DEPENDS += "openssl"
10RDEPENDS:${PN} += "openssl" 10RDEPENDS:${PN} += "openssl"
11 11
12REPO ?= "git://github.com/Xilinx/bootgen.git;protocol=https" 12REPO ?= "git://github.com/Xilinx/bootgen.git;protocol=https"
13BRANCH ?= "xlnx_rel_v2022.1" 13BRANCH ?= "master"
14SRCREV = "4eac958eb6c831ffa5768a0e2cd4be23c5efe2e0" 14SRCREV = "d890ba298685b73307a01a9dbcc8702f9afcdbcc"
15 15
16BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" 16BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}"
17SRC_URI = "${REPO};${BRANCHARG}" 17SRC_URI = "${REPO};${BRANCHARG}"
diff --git a/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr/dfx-mgr.service b/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr/dfx-mgr.service
deleted file mode 100644
index 12239266..00000000
--- a/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr/dfx-mgr.service
+++ /dev/null
@@ -1,15 +0,0 @@
1# SPDX-License-Identifier: MIT
2#
3# DFX manager daemon is used to demonstrate Dynamic Function eXchange (DFX)
4# or partial reconfiguration feature on Xilinx Zynq UltraScale+ and newer.
5# See: UG909 "Vivado Design Suite User Guide Dynamic Function eXchange"
6
7[Unit]
8Description=dfx-mgrd Dynamic Function eXchange
9Documentation=https://github.com/Xilinx/dfx-mgr
10
11[Service]
12ExecStart=/usr/bin/dfx-mgrd
13
14[Install]
15WantedBy=multi-user.target
diff --git a/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_1.0.bb b/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_1.0.bb
index 4bd234ba..6bd24fdf 100644
--- a/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_1.0.bb
+++ b/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_1.0.bb
@@ -8,8 +8,8 @@ REPO ?= "git://github.com/Xilinx/dfx-mgr.git;protocol=https"
8BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" 8BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}"
9SRC_URI = "${REPO};${BRANCHARG}" 9SRC_URI = "${REPO};${BRANCHARG}"
10 10
11BRANCH = "xlnx_rel_v2022.1" 11BRANCH = "master"
12SRCREV = "b1a4a2bd4fa72b3fb8e9e8f9c97ef5444bd9fb2a" 12SRCREV = "b7fe333513edda99cd84f3a2d26e01aaf4bd5e02"
13SOMAJOR = "1" 13SOMAJOR = "1"
14SOMINOR = "0" 14SOMINOR = "0"
15SOVERSION = "${SOMAJOR}.${SOMINOR}" 15SOVERSION = "${SOMAJOR}.${SOMINOR}"
@@ -23,13 +23,13 @@ S = "${WORKDIR}/git"
23inherit cmake update-rc.d systemd 23inherit cmake update-rc.d systemd
24 24
25DEPENDS += " libwebsockets inotify-tools libdfx zocl libdrm" 25DEPENDS += " libwebsockets inotify-tools libdfx zocl libdrm"
26RDEPENDS:${PN} += " fru-print"
26EXTRA_OECMAKE += " \ 27EXTRA_OECMAKE += " \
27 -DCMAKE_SYSROOT:PATH=${RECIPE_SYSROOT} \ 28 -DCMAKE_SYSROOT:PATH=${RECIPE_SYSROOT} \
28 " 29 "
29INITSCRIPT_NAME = "dfx-mgr.sh" 30INITSCRIPT_NAME = "dfx-mgr.sh"
30INITSCRIPT_PARAMS = "start 99 S ." 31INITSCRIPT_PARAMS = "start 99 S ."
31 32
32SRC_URI:append = " file://dfx-mgr.service"
33SYSTEMD_PACKAGES="${PN}" 33SYSTEMD_PACKAGES="${PN}"
34SYSTEMD_SERVICE:${PN}="dfx-mgr.service" 34SYSTEMD_SERVICE:${PN}="dfx-mgr.service"
35SYSTEMD_AUTO_ENABLE:${PN}="enable" 35SYSTEMD_AUTO_ENABLE:${PN}="enable"
@@ -47,7 +47,7 @@ do_install(){
47 chrpath -d ${D}${bindir}/dfx-mgrd 47 chrpath -d ${D}${bindir}/dfx-mgrd
48 chrpath -d ${D}${bindir}/dfx-mgr-client 48 chrpath -d ${D}${bindir}/dfx-mgr-client
49 install -m 0644 ${S}/src/dfxmgr_client.h ${D}${includedir} 49 install -m 0644 ${S}/src/dfxmgr_client.h ${D}${includedir}
50 50
51 oe_soinstall ${B}/src/libdfx-mgr.so.${SOVERSION} ${D}${libdir} 51 oe_soinstall ${B}/src/libdfx-mgr.so.${SOVERSION} ${D}${libdir}
52 52
53 install -m 0755 ${S}/src/daemon.conf ${D}${sysconfdir}/dfx-mgrd/ 53 install -m 0755 ${S}/src/daemon.conf ${D}${sysconfdir}/dfx-mgrd/
@@ -57,9 +57,11 @@ do_install(){
57 install -m 0755 ${S}/src/dfx-mgr.sh ${D}${sysconfdir}/init.d/ 57 install -m 0755 ${S}/src/dfx-mgr.sh ${D}${sysconfdir}/init.d/
58 fi 58 fi
59 59
60 install -m 0755 ${S}/src/dfx-mgr.sh ${D}${bindir}/ 60 install -m 0755 ${S}/src/dfx-mgr.sh ${D}${bindir}
61 install -d ${D}${systemd_system_unitdir} 61 install -m 0755 ${S}/src/scripts/xlnx-firmware-detect ${D}${bindir}
62 install -m 0644 ${WORKDIR}/dfx-mgr.service ${D}${systemd_system_unitdir} 62
63 install -d ${D}${systemd_system_unitdir}
64 install -m 0644 ${S}/src/dfx-mgr.service ${D}${systemd_system_unitdir}
63} 65}
64 66
65PACKAGES =+ "libdfx-mgr" 67PACKAGES =+ "libdfx-mgr"
diff --git a/meta-xilinx-core/recipes-bsp/fpga-manager-script/files/fpgautil.c b/meta-xilinx-core/recipes-bsp/fpga-manager-script/files/fpgautil.c
index e4fb1d2f..04777a91 100644
--- a/meta-xilinx-core/recipes-bsp/fpga-manager-script/files/fpgautil.c
+++ b/meta-xilinx-core/recipes-bsp/fpga-manager-script/files/fpgautil.c
@@ -1,6 +1,7 @@
1/****************************************************************************** 1/******************************************************************************
2 * 2 *
3 * Copyright (C) 2019-2020 Xilinx, Inc. All rights reserved. 3 * Copyright (C) 2019-2022 Xilinx, Inc. All rights reserved.
4 * Copyright (C) 2022 Advanced Micro Devices, Inc. All rights reserved.
4 * 5 *
5 * Permission is hereby granted, free of charge, to any person obtaining a copy of 6 * Permission is hereby granted, free of charge, to any person obtaining a copy of
6 * this software and associated documentation files (the "Software"), to deal in 7 * this software and associated documentation files (the "Software"), to deal in
@@ -91,7 +92,7 @@ void print_usage(char *prg)
91 fprintf(stderr, " Device Tree\n"); 92 fprintf(stderr, " Device Tree\n");
92 if (iszynqmp) 93 if (iszynqmp)
93 { 94 {
94 fprintf(stderr, " Default: <Full>\n"); 95 fprintf(stderr, " Default: <full>\n");
95 fprintf(stderr, " -s <secure flags> Optional: <Secure flags>\n"); 96 fprintf(stderr, " -s <secure flags> Optional: <Secure flags>\n");
96 fprintf(stderr, " s := <AuthDDR | AuthOCM | EnUsrKey | EnDevKey | AuthEnUsrKeyDDR | AuthEnUsrKeyOCM | AuthEnDevKeyDDR | AuthEnDevKeyOCM>\n"); 97 fprintf(stderr, " s := <AuthDDR | AuthOCM | EnUsrKey | EnDevKey | AuthEnUsrKeyDDR | AuthEnUsrKeyOCM | AuthEnDevKeyDDR | AuthEnDevKeyOCM>\n");
97 fprintf(stderr, " -k <AesKey> Optional: <AES User Key>\n"); 98 fprintf(stderr, " -k <AesKey> Optional: <AES User Key>\n");
@@ -107,7 +108,7 @@ void print_usage(char *prg)
107 fprintf(stderr, " \n"); 108 fprintf(stderr, " \n");
108 fprintf(stderr, "Examples:\n"); 109 fprintf(stderr, "Examples:\n");
109 fprintf(stderr, "(Load Full bitstream using Overlay)\n"); 110 fprintf(stderr, "(Load Full bitstream using Overlay)\n");
110 fprintf(stderr, "%s -b top.bit.bin -o can.dtbo -f Full -n Full \n", prg); 111 fprintf(stderr, "%s -b top.bit.bin -o can.dtbo -f Full -n full \n", prg);
111 fprintf(stderr, "(Load Partial bitstream using Overlay)\n"); 112 fprintf(stderr, "(Load Partial bitstream using Overlay)\n");
112 fprintf(stderr, "%s -b rm0.bit.bin -o rm0.dtbo -f Partial -n PR0\n", prg); 113 fprintf(stderr, "%s -b rm0.bit.bin -o rm0.dtbo -f Partial -n PR0\n", prg);
113 fprintf(stderr, "(Load Full bitstream using sysfs interface)\n"); 114 fprintf(stderr, "(Load Full bitstream using sysfs interface)\n");
@@ -127,7 +128,7 @@ void print_usage(char *prg)
127 fprintf(stderr, "(Remove Partial Overlay)\n"); 128 fprintf(stderr, "(Remove Partial Overlay)\n");
128 fprintf(stderr, "%s -R -n PR0\n", prg); 129 fprintf(stderr, "%s -R -n PR0\n", prg);
129 fprintf(stderr, "(Remove Full Overlay)\n"); 130 fprintf(stderr, "(Remove Full Overlay)\n");
130 fprintf(stderr, "%s -R -n Full\n", prg); 131 fprintf(stderr, "%s -R -n full\n", prg);
131 fprintf(stderr, "Note: %s -R is responsible for only removing the dtbo file from the livetree. it will not remove the PL logic from the FPGA region.\n", prg); 132 fprintf(stderr, "Note: %s -R is responsible for only removing the dtbo file from the livetree. it will not remove the PL logic from the FPGA region.\n", prg);
132 fprintf(stderr, " \n"); 133 fprintf(stderr, " \n");
133} 134}
diff --git a/meta-xilinx-core/recipes-bsp/fpga-manager-script/fpga-manager-script_1.0.bb b/meta-xilinx-core/recipes-bsp/fpga-manager-script/fpga-manager-script_1.0.bb
index 416edf17..d22c995c 100644
--- a/meta-xilinx-core/recipes-bsp/fpga-manager-script/fpga-manager-script_1.0.bb
+++ b/meta-xilinx-core/recipes-bsp/fpga-manager-script/fpga-manager-script_1.0.bb
@@ -1,7 +1,7 @@
1SUMMARY = "Install user script to support fpga-manager" 1SUMMARY = "Install user script to support fpga-manager"
2DESCRIPTION = "Install user script that loads and unloads overlays using kernel fpga-manager" 2DESCRIPTION = "Install user script that loads and unloads overlays using kernel fpga-manager"
3LICENSE = "Proprietary" 3LICENSE = "Proprietary"
4LIC_FILES_CHKSUM = "file://${WORKDIR}/fpgautil.c;beginline=1;endline=24;md5=8010e59a286b1e3a73a9fdd93bd18778" 4LIC_FILES_CHKSUM = "file://${WORKDIR}/fpgautil.c;beginline=1;endline=24;md5=0c02eabf57dba52842c5df9b96bccfae"
5 5
6SRC_URI = "\ 6SRC_URI = "\
7 file://fpgautil.c \ 7 file://fpgautil.c \
diff --git a/meta-xilinx-core/recipes-bsp/libdfx/libdfx_1.0.bb b/meta-xilinx-core/recipes-bsp/libdfx/libdfx_1.0.bb
index 36cfcd28..54f04d82 100644
--- a/meta-xilinx-core/recipes-bsp/libdfx/libdfx_1.0.bb
+++ b/meta-xilinx-core/recipes-bsp/libdfx/libdfx_1.0.bb
@@ -4,11 +4,11 @@ DESCRIPTION = "Xilinx libdfx Library and headers"
4LICENSE = "MIT & GPL-2.0-or-later" 4LICENSE = "MIT & GPL-2.0-or-later"
5LIC_FILES_CHKSUM = "file://LICENSE.md;md5=94aba86aec117f003b958a52f019f1a7" 5LIC_FILES_CHKSUM = "file://LICENSE.md;md5=94aba86aec117f003b958a52f019f1a7"
6 6
7BRANCH ?= "xlnx_rel_v2022.1" 7BRANCH ?= "master"
8REPO ?= "git://github.com/Xilinx/libdfx.git;protocol=https" 8REPO ?= "git://github.com/Xilinx/libdfx.git;protocol=https"
9BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" 9BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}"
10SRC_URI = "${REPO};${BRANCHARG}" 10SRC_URI = "${REPO};${BRANCHARG}"
11SRCREV = "96d8462a72b9b64e1057f8864795b5f60a2fc884" 11SRCREV = "cb36032844b3845ad28007404d0566184504c03f"
12 12
13COMPATIBLE_MACHINE = "^$" 13COMPATIBLE_MACHINE = "^$"
14COMPATIBLE_MACHINE:zynqmp = "zynqmp" 14COMPATIBLE_MACHINE:zynqmp = "zynqmp"
diff --git a/meta-xilinx-core/recipes-bsp/platform-init/platform-init.bb b/meta-xilinx-core/recipes-bsp/platform-init/platform-init.bb
index 8152d047..75c83ee8 100644
--- a/meta-xilinx-core/recipes-bsp/platform-init/platform-init.bb
+++ b/meta-xilinx-core/recipes-bsp/platform-init/platform-init.bb
@@ -11,7 +11,7 @@ inherit xilinx-platform-init
11 11
12COMPATIBLE_MACHINE = "$^" 12COMPATIBLE_MACHINE = "$^"
13 13
14LICENSE = "GPLv2+" 14LICENSE = "GPL-2.0-or-later"
15LIC_FILES_CHKSUM = "file://${COREBASE}/meta/files/common-licenses/GPL-2.0;md5=801f80980d171dd6425610833a22dbe6" 15LIC_FILES_CHKSUM = "file://${COREBASE}/meta/files/common-licenses/GPL-2.0;md5=801f80980d171dd6425610833a22dbe6"
16 16
17PROVIDES += "virtual/xilinx-platform-init" 17PROVIDES += "virtual/xilinx-platform-init"
diff --git a/meta-xilinx-core/recipes-bsp/pmu-firmware/pmu-rom-native_2022.2.bb b/meta-xilinx-core/recipes-bsp/pmu-firmware/pmu-rom-native_2022.2.bb
new file mode 100644
index 00000000..44ad9368
--- /dev/null
+++ b/meta-xilinx-core/recipes-bsp/pmu-firmware/pmu-rom-native_2022.2.bb
@@ -0,0 +1,27 @@
1SUMMARY = "PMU ROM for QEMU"
2DESCRIPTION = "The ZynqMP PMU ROM for QEMU emulation"
3HOMEPAGE = "http://www.xilinx.com"
4SECTION = "bsp"
5
6LICENSE = "Proprietary"
7LICENSE_FLAGS = "xilinx"
8LIC_FILES_CHKSUM = "file://PMU_ROM-LICENSE.txt;md5=d43d49bc1eb1c907fc6f4ea75abafdfc"
9
10SRC_URI = "https://www.xilinx.com/bin/public/openDownload?filename=PMU_ROM.tar.gz"
11SRC_URI[sha256sum] = "f9a450ef960979463ea0a87a35fafb4a5b62d3a741de30cbcef04c8edc22a7cf"
12
13S = "${WORKDIR}/PMU_ROM"
14
15inherit deploy native
16
17INHIBIT_DEFAULT_DEPS = "1"
18
19do_configure[noexec] = "1"
20do_compile[noexec] = "1"
21do_install[noexec] = "1"
22
23do_deploy () {
24 install -D ${S}/pmu-rom.elf ${DEPLOYDIR}/pmu-rom.elf
25}
26
27addtask deploy before do_build after do_install
diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-dev.bb b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-dev.bb
index 0c7685a5..c0005bc5 100644
--- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-dev.bb
+++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-dev.bb
@@ -9,7 +9,7 @@ UBRANCH ?= "master"
9include u-boot-xlnx.inc 9include u-boot-xlnx.inc
10include u-boot-spl-zynq-init.inc 10include u-boot-spl-zynq-init.inc
11 11
12LICENSE = "GPLv2+" 12LICENSE = "GPL-2.0-or-later"
13LIC_FILES_CHKSUM = "file://README;beginline=1;endline=6;md5=157ab8408beab40cd8ce1dc69f702a6c" 13LIC_FILES_CHKSUM = "file://README;beginline=1;endline=6;md5=157ab8408beab40cd8ce1dc69f702a6c"
14 14
15SRCREV_DEFAULT = "aebea9d20a5aa32857f320c07ca8f9fd1b3dec1f" 15SRCREV_DEFAULT = "aebea9d20a5aa32857f320c07ca8f9fd1b3dec1f"
diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx.inc
index 5a9a0868..8971d58f 100644
--- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx.inc
+++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx.inc
@@ -2,8 +2,8 @@ require recipes-bsp/u-boot/u-boot.inc
2 2
3DEPENDS += "bc-native dtc-native bison-native xxd-native" 3DEPENDS += "bc-native dtc-native bison-native xxd-native"
4 4
5XILINX_RELEASE_VERSION ?= "" 5# Use the name of the .bb for the extension
6UBOOT_VERSION_EXTENSION ?= "-xilinx-${XILINX_RELEASE_VERSION}" 6UBOOT_VERSION_EXTENSION ?= "-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}"
7PV = "${UBOOT_VERSION}${UBOOT_VERSION_EXTENSION}+git${SRCPV}" 7PV = "${UBOOT_VERSION}${UBOOT_VERSION_EXTENSION}+git${SRCPV}"
8 8
9UBOOTURI ?= "git://github.com/Xilinx/u-boot-xlnx.git;protocol=https" 9UBOOTURI ?= "git://github.com/Xilinx/u-boot-xlnx.git;protocol=https"
diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx_2022.2.bb b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx_2022.2.bb
new file mode 100644
index 00000000..0c7b4da9
--- /dev/null
+++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx_2022.2.bb
@@ -0,0 +1,19 @@
1UBOOT_VERSION = "v2021.01"
2
3UBRANCH ?= "master"
4
5SRCREV = "f2402773e2d82aafc08ac39c03f3bc430c014703"
6
7include u-boot-xlnx.inc
8include u-boot-spl-zynq-init.inc
9
10LICENSE = "GPL-2.0-or-later"
11LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897"
12
13# u-boot-xlnx has support for these
14HAS_PLATFORM_INIT ?= " \
15 xilinx_zynqmp_virt_config \
16 xilinx_zynq_virt_defconfig \
17 xilinx_versal_vc_p_a2197_revA_x_prc_01_revA \
18 "
19
diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-zynq-scr.bb b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-zynq-scr.bb
index cf1ba209..8a3a5db6 100644
--- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-zynq-scr.bb
+++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-zynq-scr.bb
@@ -27,7 +27,7 @@ KERNEL_BOOTCMD:zynq ?= "bootm"
27KERNEL_BOOTCMD:versal ?= "booti" 27KERNEL_BOOTCMD:versal ?= "booti"
28KERNEL_BOOTCMD:microblaze ?= "bootm" 28KERNEL_BOOTCMD:microblaze ?= "bootm"
29 29
30BOOTMODE ?= "generic" 30BOOTMODE ??= "generic"
31BOOTFILE_EXT ?= "" 31BOOTFILE_EXT ?= ""
32 32
33#Make this value to "1" to skip appending base address to ddr offsets. 33#Make this value to "1" to skip appending base address to ddr offsets.
@@ -43,6 +43,7 @@ SRC_URI = " \
43 file://boot.cmd.sd.versal \ 43 file://boot.cmd.sd.versal \
44 file://boot.cmd.qspi.versal \ 44 file://boot.cmd.qspi.versal \
45 file://boot.cmd.generic \ 45 file://boot.cmd.generic \
46 file://boot.cmd.generic.root \
46 file://boot.cmd.ubifs \ 47 file://boot.cmd.ubifs \
47 file://pxeboot.pxe \ 48 file://pxeboot.pxe \
48 " 49 "
@@ -159,7 +160,15 @@ NAND_FIT_IMAGE_OFFSET ?= "0x4180000"
159NAND_FIT_IMAGE_OFFSET:zynq ?= "0x1080000" 160NAND_FIT_IMAGE_OFFSET:zynq ?= "0x1080000"
160NAND_FIT_IMAGE_SIZE ?= "0x6400000" 161NAND_FIT_IMAGE_SIZE ?= "0x6400000"
161 162
162SDBOOTDEV ?= "0" 163# Default to booting with the rootfs device being partition 2 for SD/eMMC
164PARTNUM ?= "2"
165
166# Set Kernel root filesystem parameter for SD/eMMC boot
167# Bootdev will automatically be set to 'sda' or 'mmcblkXp'
168KERNEL_ROOT_SD ?= "root=/dev/\${bootdev}${PARTNUM} ro rootwait"
169
170# Set Kernel root filesystem parameter for JTAG/QSPI/OSPI/NAND(using RAMDISK) boot
171KERNEL_ROOT_RAMDISK ?= "root=/dev/ram0 rw"
163 172
164BITSTREAM_LOAD_ADDRESS ?= "0x100000" 173BITSTREAM_LOAD_ADDRESS ?= "0x100000"
165 174
@@ -217,6 +226,9 @@ do_compile() {
217 -e 's/@@UENV_MMC_LOAD_ADDRESS@@/${UENV_MMC_LOAD_ADDRESS}/' \ 226 -e 's/@@UENV_MMC_LOAD_ADDRESS@@/${UENV_MMC_LOAD_ADDRESS}/' \
218 -e 's/@@UENV_TEXTFILE@@/${UENV_TEXTFILE}/' \ 227 -e 's/@@UENV_TEXTFILE@@/${UENV_TEXTFILE}/' \
219 -e 's/@@RAMDISK_IMAGE1@@/${RAMDISK_IMAGE1}/' \ 228 -e 's/@@RAMDISK_IMAGE1@@/${RAMDISK_IMAGE1}/' \
229 -e 's/@@PARTNUM@@/${PARTNUM}/' \
230 -e 's:@@KERNEL_ROOT_SD@@:${KERNEL_ROOT_SD}:' \
231 -e 's:@@KERNEL_ROOT_RAMDISK@@:${KERNEL_ROOT_RAMDISK}:' \
220 "${WORKDIR}/boot.cmd.${BOOTMODE}${BOOTFILE_EXT}" > "${WORKDIR}/boot.cmd" 232 "${WORKDIR}/boot.cmd.${BOOTMODE}${BOOTFILE_EXT}" > "${WORKDIR}/boot.cmd"
221 mkimage -A arm -T script -C none -n "Boot script" -d "${WORKDIR}/boot.cmd" boot.scr 233 mkimage -A arm -T script -C none -n "Boot script" -d "${WORKDIR}/boot.cmd" boot.scr
222 sed -e 's/@@KERNEL_IMAGETYPE@@/${KERNEL_IMAGETYPE}/' \ 234 sed -e 's/@@KERNEL_IMAGETYPE@@/${KERNEL_IMAGETYPE}/' \
diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-zynq-scr/boot.cmd.generic.root b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-zynq-scr/boot.cmd.generic.root
new file mode 100644
index 00000000..ca90cbe1
--- /dev/null
+++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-zynq-scr/boot.cmd.generic.root
@@ -0,0 +1,98 @@
1# This is a boot script for U-Boot with generic root parameters used by yocto machine configuration file.
2# Generate boot.scr:
3# mkimage -c none -A arm -T script -d boot.cmd.default boot.scr
4#
5################
6fitimage_name=@@FIT_IMAGE@@
7kernel_name=@@KERNEL_IMAGE@@
8ramdisk_name=@@RAMDISK_IMAGE1@@
9rootfs_name=@@RAMDISK_IMAGE@@
10@@PRE_BOOTENV@@
11
12for boot_target in ${boot_targets};
13do
14 echo "Trying to load boot images from ${boot_target}"
15 if test "${boot_target}" = "jtag" ; then
16 fdt addr @@DEVICETREE_ADDRESS@@
17 fdt get value bootargs /chosen bootargs
18 setenv bootargs $bootargs @@KERNEL_ROOT_RAMDISK@@
19 @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ @@RAMDISK_IMAGE_ADDRESS@@ @@DEVICETREE_ADDRESS@@
20 fi
21 if test "${boot_target}" = "mmc0" || test "${boot_target}" = "mmc1" || test "${boot_target}" = "usb0" || test "${boot_target}" = "usb1"; then
22 if test "${boot_target}" = "mmc0" || test "${boot_target}" = "mmc1"; then
23 setenv bootdev mmcblk${devnum}p
24 fi
25 if test "${boot_target}" = "usb0" || test "${boot_target}" = "usb1"; then
26 setenv bootdev sda
27 fi
28
29 if test -e ${devtype} ${devnum}:${distro_bootpart} /@@UENV_TEXTFILE@@; then
30 fatload ${devtype} ${devnum}:${distro_bootpart} @@UENV_MMC_LOAD_ADDRESS@@ @@UENV_TEXTFILE@@;
31 echo "Importing environment(@@UENV_TEXTFILE@@) from ${boot_target}..."
32 env import -t @@UENV_MMC_LOAD_ADDRESS@@ $filesize
33 if test -n $uenvcmd; then
34 echo "Running uenvcmd ...";
35 run uenvcmd;
36 fi
37 fi
38 if test -e ${devtype} ${devnum}:${distro_bootpart} /${fitimage_name}; then
39 fatload ${devtype} ${devnum}:${distro_bootpart} @@FIT_IMAGE_LOAD_ADDRESS@@ ${fitimage_name};
40 echo "Kernel root filesystem parameter needs to be set for FITIMAGE boot if not defined in DTB"
41 bootm @@FIT_IMAGE_LOAD_ADDRESS@@;
42 fi
43 if test -e ${devtype} ${devnum}:${distro_bootpart} /${kernel_name}; then
44 fatload ${devtype} ${devnum}:${distro_bootpart} @@KERNEL_LOAD_ADDRESS@@ ${kernel_name};
45 fi
46 if test -e ${devtype} ${devnum}:${distro_bootpart} /system.dtb; then
47 fatload ${devtype} ${devnum}:${distro_bootpart} @@DEVICETREE_ADDRESS@@ system.dtb;
48 fi
49 if test -e ${devtype} ${devnum}:${distro_bootpart} /${ramdisk_name} && test "${skip_tinyramdisk}" != "yes"; then
50 fatload ${devtype} ${devnum}:${distro_bootpart} @@RAMDISK_IMAGE_ADDRESS@@ ${ramdisk_name};
51 fdt addr @@DEVICETREE_ADDRESS@@
52 fdt get value bootargs /chosen bootargs
53 setenv bootargs $bootargs @@KERNEL_ROOT_RAMDISK@@
54 @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ @@RAMDISK_IMAGE_ADDRESS@@ @@DEVICETREE_ADDRESS@@
55 fi
56 if test -e ${devtype} ${devnum}:${distro_bootpart} /${rootfs_name} && test "${skip_ramdisk}" != "yes"; then
57 fatload ${devtype} ${devnum}:${distro_bootpart} @@RAMDISK_IMAGE_ADDRESS@@ ${rootfs_name};
58 fdt addr @@DEVICETREE_ADDRESS@@
59 fdt get value bootargs /chosen bootargs
60 setenv bootargs $bootargs @@KERNEL_ROOT_RAMDISK@@
61 @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ @@RAMDISK_IMAGE_ADDRESS@@ @@DEVICETREE_ADDRESS@@
62 fi
63 fdt addr @@DEVICETREE_ADDRESS@@
64 fdt get value bootargs /chosen bootargs
65 setenv bootargs $bootargs @@KERNEL_ROOT_SD@@
66 @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ - @@DEVICETREE_ADDRESS@@
67 fi
68 if test "${boot_target}" = "xspi0" || test "${boot_target}" = "qspi" || test "${boot_target}" = "qspi0"; then
69 sf probe 0 0 0;
70 sf read @@FIT_IMAGE_LOAD_ADDRESS@@ @@QSPI_FIT_IMAGE_OFFSET@@ @@QSPI_FIT_IMAGE_SIZE@@
71 echo "Kernel root filesystem parameter needs to be set for FITIMAGE boot if not defined in DTB"
72 bootm @@FIT_IMAGE_LOAD_ADDRESS@@;
73 echo "Booting using Fit image failed"
74
75 sf read @@KERNEL_LOAD_ADDRESS@@ @@QSPI_KERNEL_OFFSET@@ @@QSPI_KERNEL_SIZE@@
76 sf read @@RAMDISK_IMAGE_ADDRESS@@ @@QSPI_RAMDISK_OFFSET@@ @@QSPI_RAMDISK_SIZE@@
77 fdt addr @@DEVICETREE_ADDRESS@@
78 fdt get value bootargs /chosen bootargs
79 setenv bootargs $bootargs @@KERNEL_ROOT_RAMDISK@@
80 @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ @@RAMDISK_IMAGE_ADDRESS@@ @@DEVICETREE_ADDRESS@@;
81 echo "Booting using Separate images failed"
82 fi
83 if test "${boot_target}" = "nand" || test "${boot_target}" = "nand0"; then
84 nand info;
85 nand read @@FIT_IMAGE_LOAD_ADDRESS@@ @@NAND_FIT_IMAGE_OFFSET@@ @@NAND_FIT_IMAGE_SIZE@@
86 echo "Kernel root filesystem parameter needs to be set for FITIMAGE boot if not defined in DTB"
87 bootm @@FIT_IMAGE_LOAD_ADDRESS@@;
88 echo "Booting using Fit image failed"
89
90 nand read @@KERNEL_LOAD_ADDRESS@@ @@NAND_KERNEL_OFFSET@@ @@NAND_KERNEL_SIZE@@
91 nand read @@RAMDISK_IMAGE_ADDRESS@@ @@NAND_RAMDISK_OFFSET@@ @@NAND_RAMDISK_SIZE@@
92 fdt addr @@DEVICETREE_ADDRESS@@
93 fdt get value bootargs /chosen bootargs
94 setenv bootargs $bootargs @@KERNEL_ROOT_RAMDISK@@
95 @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ @@RAMDISK_IMAGE_ADDRESS@@ @@DEVICETREE_ADDRESS@@;
96 echo "Booting using Separate images failed"
97 fi
98done
diff --git a/meta-xilinx-core/recipes-connectivity/iproute2/iproute2.inc b/meta-xilinx-core/recipes-connectivity/iproute2/iproute2.inc
index 3f070d67..b1bcc143 100644
--- a/meta-xilinx-core/recipes-connectivity/iproute2/iproute2.inc
+++ b/meta-xilinx-core/recipes-connectivity/iproute2/iproute2.inc
@@ -5,7 +5,7 @@ and tc are the most important. ip controls IPv4 and IPv6 \
5configuration and tc stands for traffic control." 5configuration and tc stands for traffic control."
6HOMEPAGE = "http://www.linuxfoundation.org/collaborate/workgroups/networking/iproute2" 6HOMEPAGE = "http://www.linuxfoundation.org/collaborate/workgroups/networking/iproute2"
7SECTION = "base" 7SECTION = "base"
8LICENSE = "GPLv2+" 8LICENSE = "GPL-2.0-or-later"
9LIC_FILES_CHKSUM = "file://COPYING;md5=eb723b61539feef013de476e68b5c50a \ 9LIC_FILES_CHKSUM = "file://COPYING;md5=eb723b61539feef013de476e68b5c50a \
10 file://ip/ip.c;beginline=3;endline=8;md5=689d691d0410a4b64d3899f8d6e31817" 10 file://ip/ip.c;beginline=3;endline=8;md5=689d691d0410a4b64d3899f8d6e31817"
11 11
diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees.inc
index 87d90a50..b08b414f 100644
--- a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees.inc
+++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees.inc
@@ -7,10 +7,7 @@ inherit deploy
7 7
8LIC_FILES_CHKSUM = "file://Makefile;beginline=1;endline=27;md5=7348b6cbcae69912cb1dee68d6c68d99" 8LIC_FILES_CHKSUM = "file://Makefile;beginline=1;endline=27;md5=7348b6cbcae69912cb1dee68d6c68d99"
9 9
10PV = "xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" 10PV = "xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}"
11
12FILESEXTRAPATHS:prepend := "${THISDIR}/${PN}:"
13SRC_URI:append = " file://0001-Makefile-Use-python3-instead-of-python.patch"
14 11
15REPO ?= "git://github.com/Xilinx/qemu-devicetrees.git;protocol=https" 12REPO ?= "git://github.com/Xilinx/qemu-devicetrees.git;protocol=https"
16 13
diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2022.1.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2022.1.bb
index e2f134fe..7c734cd6 100644
--- a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2022.1.bb
+++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2022.1.bb
@@ -3,3 +3,6 @@ require qemu-devicetrees.inc
3 3
4BRANCH ?= "xlnx_rel_v2022.1" 4BRANCH ?= "xlnx_rel_v2022.1"
5SRCREV ?= "0499324af1178057c3730b0989c8fb5c5bbc4cf8" 5SRCREV ?= "0499324af1178057c3730b0989c8fb5c5bbc4cf8"
6
7FILESEXTRAPATHS:prepend := "${THISDIR}/${PN}:"
8SRC_URI:append = " file://0001-Makefile-Use-python3-instead-of-python.patch"
diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2022.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2022.2.bb
new file mode 100644
index 00000000..c7719866
--- /dev/null
+++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2022.2.bb
@@ -0,0 +1,6 @@
1
2require qemu-devicetrees.inc
3
4BRANCH ?= "master"
5SRCREV ?= "42d0b7e24fbd1adc72fb2d0e70e06ff332278468"
6
diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-helper-native_1.0.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-helper-native_1.0.bb
index 2450d275..dd47f7a7 100644
--- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-helper-native_1.0.bb
+++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-helper-native_1.0.bb
@@ -1,36 +1,13 @@
1FILESEXTRAPATHS:prepend := "${COREBASE}/meta/recipes-devtools/qemu/qemu-helper:" 1FILESEXTRAPATHS:prepend := "${COREBASE}/meta/recipes-devtools/qemu/qemu-helper:"
2 2
3# provide it, to replace the existing 3require ${COREBASE}/meta/recipes-devtools/qemu/qemu-helper-native_1.0.bb
4PROVIDES = "qemu-helper-native"
5PR = "r1"
6
7LICENSE = "GPLv2"
8LIC_FILES_CHKSUM = "file://${WORKDIR}/tunctl.c;endline=4;md5=ff3a09996bc5fff6bc5d4e0b4c28f999"
9
10SRC_URI = "\
11 file://tunctl.c \
12 "
13
14S = "${WORKDIR}"
15 4
16inherit native 5# provide it, to replace the existing recipe
6PROVIDES = "qemu-helper-native"
17 7
18do_compile() {
19 ${CC} ${CFLAGS} ${LDFLAGS} -Wall tunctl.c -o tunctl
20}
21# replace qemu with qemu-xilinx 8# replace qemu with qemu-xilinx
22DEPENDS:remove = "qemu-system-native" 9DEPENDS:remove = "qemu-system-native"
23DEPENDS:append = " \ 10DEPENDS:append = " qemu-xilinx-system-native qemu-xilinx-multiarch-helper-native"
24 qemu-xilinx-system-native \
25 qemu-xilinx-multiarch-helper-native \
26 "
27 11
28RDEPENDS:${PN}:remove = "qemu-system-native" 12RDEPENDS:${PN}:remove = "qemu-system-native"
29RDEPENDS:${PN}:append = " qemu-xilinx-system-native" 13RDEPENDS:${PN}:append = " qemu-xilinx-system-native"
30
31do_install() {
32 install -d ${STAGING_BINDIR_NATIVE}
33 install tunctl ${STAGING_BINDIR_NATIVE}
34
35}
36addtask addto_recipe_sysroot after do_populate_sysroot before do_build
diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-native_2022.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-native_2022.2.bb
new file mode 100644
index 00000000..5e6c2d28
--- /dev/null
+++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-native_2022.2.bb
@@ -0,0 +1,7 @@
1require qemu-xilinx-native.inc
2BPN = "qemu-xilinx"
3
4EXTRA_OECONF:append = " --target-list=${@get_qemu_usermode_target_list(d)} --disable-tools --disable-blobs --disable-guest-agent"
5
6PROVIDES = "qemu-native"
7PACKAGECONFIG ??= "pie"
diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_2022.1.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_2022.1.bb
index f177872a..49faa73c 100644
--- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_2022.1.bb
+++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_2022.1.bb
@@ -4,7 +4,7 @@ PROVIDES = "qemu-system-native"
4 4
5EXTRA_OECONF:append = " --target-list=${@get_qemu_system_target_list(d)}" 5EXTRA_OECONF:append = " --target-list=${@get_qemu_system_target_list(d)}"
6 6
7PACKAGECONFIG ??= "fdt alsa kvm pie" 7PACKAGECONFIG ??= "fdt alsa kvm pie slirp"
8 8
9PACKAGECONFIG:remove = "${@'kvm' if not os.path.exists('/usr/include/linux/kvm.h') else ''}" 9PACKAGECONFIG:remove = "${@'kvm' if not os.path.exists('/usr/include/linux/kvm.h') else ''}"
10 10
diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_2022.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_2022.2.bb
new file mode 100644
index 00000000..49faa73c
--- /dev/null
+++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_2022.2.bb
@@ -0,0 +1,20 @@
1require qemu-xilinx-native.inc
2
3PROVIDES = "qemu-system-native"
4
5EXTRA_OECONF:append = " --target-list=${@get_qemu_system_target_list(d)}"
6
7PACKAGECONFIG ??= "fdt alsa kvm pie slirp"
8
9PACKAGECONFIG:remove = "${@'kvm' if not os.path.exists('/usr/include/linux/kvm.h') else ''}"
10
11DEPENDS += "pixman-native qemu-xilinx-native bison-native ninja-native meson-native"
12
13do_install:append() {
14 # The following is also installed by qemu-native
15 rm -f ${D}${datadir}/qemu/trace-events-all
16 rm -rf ${D}${datadir}/qemu/keymaps
17 rm -rf ${D}${datadir}/icons
18 rm -rf ${D}${includedir}/qemu-plugin.h
19}
20
diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx.inc
index d42aa484..48b85f21 100644
--- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx.inc
+++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx.inc
@@ -11,12 +11,12 @@ LIC_FILES_CHKSUM = " \
11DEPENDS = "glib-2.0 zlib pixman bison-native ninja-native meson-native" 11DEPENDS = "glib-2.0 zlib pixman bison-native ninja-native meson-native"
12 12
13XILINX_QEMU_VERSION ?= "v6.1.0" 13XILINX_QEMU_VERSION ?= "v6.1.0"
14BRANCH ?= "xlnx_rel_v2022.1" 14BRANCH ?= "master"
15SRCREV = "52a9b22faeb149a6b17646b1f912f06ea6c269ca" 15SRCREV = "fbcb55665e9f5f91110ba2a44f62be9bc72752ee"
16 16
17FILESEXTRAPATHS:prepend := "${THISDIR}/files:" 17FILESEXTRAPATHS:prepend := "${THISDIR}/files:"
18 18
19PV = "${XILINX_QEMU_VERSION}-xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" 19PV = "${XILINX_QEMU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}"
20REPO ?= "gitsm://github.com/Xilinx/qemu.git;protocol=https" 20REPO ?= "gitsm://github.com/Xilinx/qemu.git;protocol=https"
21 21
22BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" 22BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}"
@@ -28,19 +28,16 @@ S = "${WORKDIR}/git"
28 28
29# Disable KVM completely 29# Disable KVM completely
30PACKAGECONFIG:remove = "kvm" 30PACKAGECONFIG:remove = "kvm"
31PACKAGECONFIG:append = " fdt sdl gcrypt pie" 31PACKAGECONFIG:append = " fdt sdl gcrypt pie slirp"
32 32
33 33
34PACKAGECONFIG[gcrypt] = "--enable-gcrypt,--disable-gcrypt,libgcrypt,"
35PACKAGECONFIG[sdl] = "--enable-sdl,--disable-sdl,libsdl2"
36PACKAGECONFIG[pie] = "--enable-pie,--disable-pie,,"
37
38# Remove when version is in sync with core oe-core 34# Remove when version is in sync with core oe-core
39PACKAGECONFIG:remove:class-nativesdk= "pulsedio" 35PACKAGECONFIG:remove:class-nativesdk= "pulsedio"
40PACKAGECONFIG:remove:class-native = "pulsedio" 36PACKAGECONFIG:remove:class-native = "pulsedio"
41PACKAGECONFIG[alsa] = "--audio-drv-list=alsa,,alsa-lib" 37PACKAGECONFIG[alsa] = "--audio-drv-list=alsa,,alsa-lib"
42PACKAGECONFIG[pulsedio] = "--audio-drv-list=pa,,pulseaudio" 38PACKAGECONFIG[pulsedio] = "--audio-drv-list=pa,,pulseaudio"
43PACKAGECONFIG[selinux] = "" 39PACKAGECONFIG[selinux] = ""
40PACKAGECONFIG[slirp] = "--enable-slirp=git,--disable-slirp,,"
44 41
45DISABLE_STATIC:pn-${PN} = "" 42DISABLE_STATIC:pn-${PN} = ""
46 43
diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2022.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2022.2.bb
new file mode 100644
index 00000000..4983b4df
--- /dev/null
+++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2022.2.bb
@@ -0,0 +1,17 @@
1require recipes-devtools/qemu/qemu.inc
2require qemu-xilinx.inc
3
4BBCLASSEXTEND = "nativesdk"
5
6RDEPENDS:${PN}:class-target += "bash"
7
8PROVIDES:class-nativesdk = "nativesdk-qemu"
9RPROVIDES:${PN}:class-nativesdk = "nativesdk-qemu"
10
11EXTRA_OECONF:append:class-target = " --target-list=${@get_qemu_target_list(d)}"
12EXTRA_OECONF:append:class-nativesdk = " --target-list=${@get_qemu_target_list(d)}"
13EXTRA_OECONF:append:class-target:mipsarcho32 = "${@bb.utils.contains('BBEXTENDCURR', 'multilib', ' --disable-capstone', '', d)}"
14
15do_install:append:class-nativesdk() {
16 ${@bb.utils.contains('PACKAGECONFIG', 'gtk+', 'make_qemu_wrapper', '', d)}
17}
diff --git a/meta-xilinx-core/recipes-graphics/mali/kernel-module-mali.bb b/meta-xilinx-core/recipes-graphics/mali/kernel-module-mali.bb
index 1e584241..cc31cfe5 100644
--- a/meta-xilinx-core/recipes-graphics/mali/kernel-module-mali.bb
+++ b/meta-xilinx-core/recipes-graphics/mali/kernel-module-mali.bb
@@ -1,7 +1,7 @@
1SUMMARY = "A Mali 400 Linux Kernel module" 1SUMMARY = "A Mali 400 Linux Kernel module"
2SECTION = "kernel/modules" 2SECTION = "kernel/modules"
3 3
4LICENSE = "GPLv2" 4LICENSE = "GPL-2.0-only"
5LIC_FILES_CHKSUM = " \ 5LIC_FILES_CHKSUM = " \
6 file://linux/license/gpl/mali_kernel_license.h;md5=f5af2d61f4c1eb262cb6a557aaa1070a \ 6 file://linux/license/gpl/mali_kernel_license.h;md5=f5af2d61f4c1eb262cb6a557aaa1070a \
7 " 7 "
diff --git a/meta-xilinx-core/recipes-graphics/wayland/files/weston.service b/meta-xilinx-core/recipes-graphics/wayland/files/weston.service
index c58e0668..c7583e92 100644
--- a/meta-xilinx-core/recipes-graphics/wayland/files/weston.service
+++ b/meta-xilinx-core/recipes-graphics/wayland/files/weston.service
@@ -41,8 +41,8 @@ TimeoutStartSec=60
41WatchdogSec=20 41WatchdogSec=20
42 42
43# The user to run Weston as. 43# The user to run Weston as.
44User=root 44User=weston
45Group=root 45Group=weston
46 46
47# Make sure the working directory is the users home directory 47# Make sure the working directory is the users home directory
48WorkingDirectory=/home/weston 48WorkingDirectory=/home/weston
diff --git a/meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_git.bb b/meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_git.bb
index ae5f98d9..ce898075 100755
--- a/meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_git.bb
+++ b/meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_git.bb
@@ -4,14 +4,14 @@ SECTION = "kernel/modules"
4LICENSE = "GPL-2.0-only" 4LICENSE = "GPL-2.0-only"
5LIC_FILES_CHKSUM = "file://LICENSE.md;md5=eb723b61539feef013de476e68b5c50a" 5LIC_FILES_CHKSUM = "file://LICENSE.md;md5=eb723b61539feef013de476e68b5c50a"
6 6
7XLNX_DP_VERSION = "5.15.19" 7XLNX_DP_VERSION = "5.10.0"
8PV = "${XLNX_DP_VERSION}" 8PV = "${XLNX_DP_VERSION}"
9 9
10S = "${WORKDIR}/git" 10S = "${WORKDIR}/git"
11 11
12BRANCH ?= "xlnx_rel_v2022.1" 12BRANCH ?= "master"
13REPO ?= "git://github.com/xilinx/dp-modules.git;protocol=https" 13REPO ?= "git://github.com/xilinx/dp-modules.git;protocol=https"
14SRCREV ?= "9a025fdb7134a8af12de8d69f5a428c8284ae9b3" 14SRCREV ?= "c57b2ce95ee6c86f35caecbc7007644ff8f6d337"
15 15
16BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" 16BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}"
17SRC_URI = "${REPO};${BRANCHARG}" 17SRC_URI = "${REPO};${BRANCHARG}"
diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-microblaze.inc b/meta-xilinx-core/recipes-kernel/linux/linux-microblaze.inc
index 4555bc28..efd21a18 100644
--- a/meta-xilinx-core/recipes-kernel/linux/linux-microblaze.inc
+++ b/meta-xilinx-core/recipes-kernel/linux/linux-microblaze.inc
@@ -1,4 +1,7 @@
1SRC_URI += "file://mb-no-tree-loop-distribute-patterns.patch" 1SRC_URI += " \
2 file://mb-no-tree-loop-distribute-patterns.patch \
3 file://microblaze_generic.cfg \
4 "
2 5
3# MicroBlaze is a uImage target, but its not called 'uImage' instead it is called 'linux.bin.ub' 6# MicroBlaze is a uImage target, but its not called 'uImage' instead it is called 'linux.bin.ub'
4python () { 7python () {
diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx.inc b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx.inc
index adefabea..2edebe8e 100644
--- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx.inc
+++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx.inc
@@ -1,13 +1,12 @@
1# This version extension should match CONFIG_LOCALVERSION in defconfig 1# This version extension should match CONFIG_LOCALVERSION in defconfig
2XILINX_RELEASE_VERSION ?= "" 2LINUX_VERSION_EXTENSION ?= "-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}"
3LINUX_VERSION_EXTENSION ?= "-xilinx-${XILINX_RELEASE_VERSION}"
4PV = "${LINUX_VERSION}+git${SRCPV}" 3PV = "${LINUX_VERSION}+git${SRCPV}"
5 4
6# Sources, by default allow for the use of SRCREV pointing to orphaned tags/commits 5# Sources, by default allow for the use of SRCREV pointing to orphaned tags/commits
7KBRANCH ?= "xlnx_rebase_v5.15_LTS" 6KBRANCH ?= "xlnx_rebase_v5.15_LTS"
8SRCBRANCHARG = "${@['nobranch=1', 'branch=${KBRANCH}'][d.getVar('KBRANCH', True) != '']}" 7SRCBRANCHARG = "${@['nobranch=1', 'branch=${KBRANCH}'][d.getVar('KBRANCH', True) != '']}"
9 8
10FILESOVERRIDES:append = ":${XILINX_RELEASE_VERSION}" 9FILESOVERRIDES:append := ":${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}"
11KERNELURI ?= "git://github.com/Xilinx/linux-xlnx.git;protocol=https;name=machine" 10KERNELURI ?= "git://github.com/Xilinx/linux-xlnx.git;protocol=https;name=machine"
12YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-5.15;destsuffix=yocto-kmeta" 11YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-5.15;destsuffix=yocto-kmeta"
13SRC_URI = "${KERNELURI};${SRCBRANCHARG} ${YOCTO_META}" 12SRC_URI = "${KERNELURI};${SRCBRANCHARG} ${YOCTO_META}"
@@ -53,6 +52,7 @@ KBUILD_DEFCONFIG:zynqmp ?= "xilinx_defconfig"
53KBUILD_DEFCONFIG:zynq ?= "xilinx_zynq_defconfig" 52KBUILD_DEFCONFIG:zynq ?= "xilinx_zynq_defconfig"
54KBUILD_DEFCONFIG:microblaze ?= "mmu_defconfig" 53KBUILD_DEFCONFIG:microblaze ?= "mmu_defconfig"
55KBUILD_DEFCONFIG:versal ?= "xilinx_defconfig" 54KBUILD_DEFCONFIG:versal ?= "xilinx_defconfig"
55KBUILD_DEFCONFIG:versal-net ?= "xilinx_versal_net_defconfig"
56 56
57KERNEL_FEATURES:append:zynqmp = "${@bb.utils.contains('DISTRO_FEATURES', 'xen', ' features/xen/xen.scc', '', d)}" 57KERNEL_FEATURES:append:zynqmp = "${@bb.utils.contains('DISTRO_FEATURES', 'xen', ' features/xen/xen.scc', '', d)}"
58 58
diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx/microblaze_generic.cfg b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx/microblaze_generic.cfg
new file mode 100644
index 00000000..6ec6a997
--- /dev/null
+++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx/microblaze_generic.cfg
@@ -0,0 +1,18 @@
1CONFIG_SERIAL_OF_PLATFORM=y
2CONFIG_DP83867_PHY=y
3CONFIG_EARLY_PRINTK=y
4CONFIG_MTD_PHYSMAP=y
5CONFIG_MTD_PHYSMAP_OF=y
6CONFIG_NET_CORE=y
7CONFIG_XILINX_PHY=y
8CONFIG_XILINX_MICROBLAZE0_FAMILY="kintex7"
9CONFIG_XILINX_MICROBLAZE0_USE_MSR_INSTR=1
10CONFIG_XILINX_MICROBLAZE0_USE_PCMP_INSTR=1
11CONFIG_XILINX_MICROBLAZE0_USE_BARREL=1
12CONFIG_XILINX_MICROBLAZE0_USE_DIV=1
13CONFIG_XILINX_MICROBLAZE0_USE_HW_MUL=2
14CONFIG_XILINX_MICROBLAZE0_USE_FPU=1
15CONFIG_XILINX_MICROBLAZE0_HW_VER="11.0"
16CONFIG_KERNEL_BASE_ADDR=0x80000000
17CONFIG_CMDLINE="console=ttyUL0,115200 earlycon root=/dev/ram0 rw"
18CONFIG_BLK_DEV_INITRD=y \ No newline at end of file
diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2022.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2022.2.bb
new file mode 100644
index 00000000..3551fb8b
--- /dev/null
+++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2022.2.bb
@@ -0,0 +1,9 @@
1LINUX_VERSION = "5.15.36"
2KBRANCH="xlnx_rebase_v5.15_LTS"
3SRCREV = "2ddbacde6539be25b5717af5705a0d0009d6b2d3"
4
5KCONF_AUDIT_LEVEL="0"
6
7include linux-xlnx.inc
8
9FILESEXTRAPATHS:prepend := "${THISDIR}/${PN}:"
diff --git a/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu.bb b/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2022.1.bb
index 3b683eda..0cb0c0ef 100644
--- a/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu.bb
+++ b/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2022.1.bb
@@ -5,7 +5,7 @@ LICENSE = "GPL-2.0-only"
5LIC_FILES_CHKSUM = "file://LICENSE.md;md5=eb723b61539feef013de476e68b5c50a" 5LIC_FILES_CHKSUM = "file://LICENSE.md;md5=eb723b61539feef013de476e68b5c50a"
6 6
7XILINX_VCU_VERSION = "1.0.0" 7XILINX_VCU_VERSION = "1.0.0"
8PV = "${XILINX_VCU_VERSION}-xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" 8PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}"
9 9
10S = "${WORKDIR}/git" 10S = "${WORKDIR}/git"
11 11
diff --git a/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2022.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2022.2.bb
new file mode 100644
index 00000000..0cb0c0ef
--- /dev/null
+++ b/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2022.2.bb
@@ -0,0 +1,40 @@
1SUMMARY = "Linux kernel module for Video Code Unit"
2DESCRIPTION = "Out-of-tree VCU decoder, encoder and common kernel modules provider for MPSoC EV devices"
3SECTION = "kernel/modules"
4LICENSE = "GPL-2.0-only"
5LIC_FILES_CHKSUM = "file://LICENSE.md;md5=eb723b61539feef013de476e68b5c50a"
6
7XILINX_VCU_VERSION = "1.0.0"
8PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}"
9
10S = "${WORKDIR}/git"
11
12FILESEXTRAPATHS:prepend := "${THISDIR}/files:"
13
14BRANCH = "xlnx_rel_v2022.1"
15REPO = "git://github.com/Xilinx/vcu-modules.git;protocol=https"
16SRCREV = "9d2657550eccebccce08cacfcdd369367b9f6be4"
17
18BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}"
19SRC_URI = " \
20 ${REPO};${BRANCHARG} \
21 file://99-vcu-enc-dec.rules \
22 "
23
24inherit module
25
26EXTRA_OEMAKE += "O=${STAGING_KERNEL_BUILDDIR}"
27
28RDEPENDS:${PN} = "vcu-firmware"
29
30COMPATIBLE_MACHINE = "^$"
31COMPATIBLE_MACHINE:zynqmp = "zynqmp"
32
33KERNEL_MODULE_AUTOLOAD += "dmaproxy"
34
35do_install:append() {
36 install -d ${D}${sysconfdir}/udev/rules.d
37 install -m 0644 ${WORKDIR}/99-vcu-enc-dec.rules ${D}${sysconfdir}/udev/rules.d/
38}
39
40FILES:${PN} = "${sysconfdir}/udev/rules.d/*"
diff --git a/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx.bb b/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2022.1.bb
index 958183a0..b4460a38 100644
--- a/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx.bb
+++ b/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2022.1.bb
@@ -4,7 +4,7 @@ LICENSE = "Proprietary"
4LIC_FILES_CHKSUM = "file://LICENSE.md;md5=03a7aef7e6f6a76a59fd9b8ba450b493" 4LIC_FILES_CHKSUM = "file://LICENSE.md;md5=03a7aef7e6f6a76a59fd9b8ba450b493"
5 5
6XILINX_VCU_VERSION = "1.0.0" 6XILINX_VCU_VERSION = "1.0.0"
7PV = "${XILINX_VCU_VERSION}-xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" 7PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}"
8 8
9BRANCH ?= "xlnx_rel_v2022.1" 9BRANCH ?= "xlnx_rel_v2022.1"
10REPO ?= "git://github.com/Xilinx/vcu-omx-il.git;protocol=https" 10REPO ?= "git://github.com/Xilinx/vcu-omx-il.git;protocol=https"
diff --git a/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2022.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2022.2.bb
new file mode 100644
index 00000000..b4460a38
--- /dev/null
+++ b/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2022.2.bb
@@ -0,0 +1,50 @@
1SUMMARY = "OpenMAX Integration layer for VCU"
2DESCRIPTION = "OMX IL Libraries,test applications and headers for VCU"
3LICENSE = "Proprietary"
4LIC_FILES_CHKSUM = "file://LICENSE.md;md5=03a7aef7e6f6a76a59fd9b8ba450b493"
5
6XILINX_VCU_VERSION = "1.0.0"
7PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}"
8
9BRANCH ?= "xlnx_rel_v2022.1"
10REPO ?= "git://github.com/Xilinx/vcu-omx-il.git;protocol=https"
11SRCREV = "b3308c608be7ed9250b9c6732f6e0a02b1a2e985"
12
13BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}"
14SRC_URI = "${REPO};${BRANCHARG}"
15
16S = "${WORKDIR}/git"
17
18COMPATIBLE_MACHINE = "^$"
19COMPATIBLE_MACHINE:zynqmp = "zynqmp"
20
21PACKAGE_ARCH = "${SOC_FAMILY_ARCH}"
22
23DEPENDS = "libvcu-xlnx"
24RDEPENDS:${PN} = "kernel-module-vcu libvcu-xlnx"
25
26EXTERNAL_INCLUDE="${STAGING_INCDIR}/vcu-ctrl-sw/include"
27
28EXTRA_OEMAKE = " \
29 CC='${CC}' CXX='${CXX} ${CXXFLAGS}' \
30 EXTERNAL_INCLUDE='${EXTERNAL_INCLUDE}' \
31 "
32
33do_install() {
34 install -d ${D}${libdir}
35 install -d ${D}${includedir}/vcu-omx-il
36
37 install -m 0644 ${S}/omx_header/*.h ${D}${includedir}/vcu-omx-il
38
39 install -Dm 0755 ${S}/bin/omx_decoder ${D}/${bindir}/omx_decoder
40 install -Dm 0755 ${S}/bin/omx_encoder ${D}/${bindir}/omx_encoder
41
42 oe_libinstall -C ${S}/bin/ -so libOMX.allegro.core ${D}/${libdir}/
43 oe_libinstall -C ${S}/bin/ -so libOMX.allegro.video_decoder ${D}/${libdir}/
44 oe_libinstall -C ${S}/bin/ -so libOMX.allegro.video_encoder ${D}/${libdir}/
45}
46
47# These libraries shouldn't get installed in world builds unless something
48# explicitly depends upon them.
49
50EXCLUDE_FROM_WORLD = "1"
diff --git a/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx.bb b/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2022.1.bb
index 5608e5a8..91f15cc2 100644
--- a/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx.bb
+++ b/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2022.1.bb
@@ -4,7 +4,7 @@ LICENSE = "Proprietary"
4LIC_FILES_CHKSUM = "file://LICENSE.md;md5=03a7aef7e6f6a76a59fd9b8ba450b493" 4LIC_FILES_CHKSUM = "file://LICENSE.md;md5=03a7aef7e6f6a76a59fd9b8ba450b493"
5 5
6XILINX_VCU_VERSION = "1.0.0" 6XILINX_VCU_VERSION = "1.0.0"
7PV = "${XILINX_VCU_VERSION}-xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" 7PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}"
8 8
9BRANCH ?= "xlnx_rel_v2022.1" 9BRANCH ?= "xlnx_rel_v2022.1"
10REPO ?= "git://github.com/Xilinx/vcu-ctrl-sw.git;protocol=https" 10REPO ?= "git://github.com/Xilinx/vcu-ctrl-sw.git;protocol=https"
diff --git a/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2022.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2022.2.bb
new file mode 100644
index 00000000..91f15cc2
--- /dev/null
+++ b/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2022.2.bb
@@ -0,0 +1,42 @@
1SUMMARY = "Control Software for VCU"
2DESCRIPTION = "Control software libraries, test applications and headers provider for VCU"
3LICENSE = "Proprietary"
4LIC_FILES_CHKSUM = "file://LICENSE.md;md5=03a7aef7e6f6a76a59fd9b8ba450b493"
5
6XILINX_VCU_VERSION = "1.0.0"
7PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}"
8
9BRANCH ?= "xlnx_rel_v2022.1"
10REPO ?= "git://github.com/Xilinx/vcu-ctrl-sw.git;protocol=https"
11SRCREV = "5bf158af204b181f00ac009c8745557642ecfe5f"
12
13BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}"
14SRC_URI = "${REPO};${BRANCHARG}"
15
16S = "${WORKDIR}/git"
17
18COMPATIBLE_MACHINE = "^$"
19COMPATIBLE_MACHINE:zynqmp = "zynqmp"
20
21PACKAGE_ARCH = "${SOC_FAMILY_ARCH}"
22
23RDEPENDS:${PN} = "kernel-module-vcu"
24
25EXTRA_OEMAKE = "CC='${CC}' CXX='${CXX} ${CXXFLAGS}'"
26
27do_install() {
28 install -d ${D}${libdir}
29 install -d ${D}${includedir}/vcu-ctrl-sw/include
30
31 install -Dm 0755 ${S}/bin/ctrlsw_encoder ${D}/${bindir}/ctrlsw_encoder
32 install -Dm 0755 ${S}/bin/ctrlsw_decoder ${D}/${bindir}/ctrlsw_decoder
33
34 oe_runmake install_headers INSTALL_HDR_PATH=${D}${includedir}/vcu-ctrl-sw/include
35 oe_libinstall -C ${S}/bin/ -so liballegro_decode ${D}/${libdir}/
36 oe_libinstall -C ${S}/bin/ -so liballegro_encode ${D}/${libdir}/
37}
38
39# These libraries shouldn't get installed in world builds unless something
40# explicitly depends upon them.
41
42EXCLUDE_FROM_WORLD = "1"
diff --git a/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware.bb b/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2022.1.bb
index 0013134d..fc9f34ca 100644
--- a/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware.bb
+++ b/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2022.1.bb
@@ -4,7 +4,7 @@ LICENSE = "Proprietary"
4LIC_FILES_CHKSUM = "file://LICENSE;md5=63b45903a9a50120df488435f03cf498" 4LIC_FILES_CHKSUM = "file://LICENSE;md5=63b45903a9a50120df488435f03cf498"
5 5
6XILINX_VCU_VERSION = "1.0.0" 6XILINX_VCU_VERSION = "1.0.0"
7PV = "${XILINX_VCU_VERSION}-xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" 7PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}"
8 8
9S = "${WORKDIR}/git" 9S = "${WORKDIR}/git"
10 10
diff --git a/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2022.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2022.2.bb
new file mode 100644
index 00000000..fc9f34ca
--- /dev/null
+++ b/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2022.2.bb
@@ -0,0 +1,39 @@
1SUMMARY = "Firmware for VCU"
2DESCRIPTION = "Firmware binaries provider for VCU"
3LICENSE = "Proprietary"
4LIC_FILES_CHKSUM = "file://LICENSE;md5=63b45903a9a50120df488435f03cf498"
5
6XILINX_VCU_VERSION = "1.0.0"
7PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}"
8
9S = "${WORKDIR}/git"
10
11BRANCH ?= "xlnx_rel_v2022.1"
12REPO ?= "git://github.com/Xilinx/vcu-firmware.git;protocol=https"
13SRCREV = "569f980527fd58f43baf16bd0b294bf8c7cdf963"
14
15BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}"
16SRC_URI = "${REPO};${BRANCHARG}"
17
18COMPATIBLE_MACHINE = "^$"
19COMPATIBLE_MACHINE:zynqmp = "zynqmp"
20
21PACKAGE_ARCH = "${SOC_FAMILY_ARCH}"
22
23do_install() {
24 install -Dm 0644 ${S}/${XILINX_VCU_VERSION}/lib/firmware/al5d_b.fw ${D}/lib/firmware/al5d_b.fw
25 install -Dm 0644 ${S}/${XILINX_VCU_VERSION}/lib/firmware/al5d.fw ${D}/lib/firmware/al5d.fw
26 install -Dm 0644 ${S}/${XILINX_VCU_VERSION}/lib/firmware/al5e_b.fw ${D}/lib/firmware/al5e_b.fw
27 install -Dm 0644 ${S}/${XILINX_VCU_VERSION}/lib/firmware/al5e.fw ${D}/lib/firmware/al5e.fw
28}
29
30# Inhibit warnings about files being stripped
31INHIBIT_PACKAGE_DEBUG_SPLIT = "1"
32INHIBIT_PACKAGE_STRIP = "1"
33FILES:${PN} = "/lib/firmware/*"
34
35# These libraries shouldn't get installed in world builds unless something
36# explicitly depends upon them.
37EXCLUDE_FROM_WORLD = "1"
38
39INSANE_SKIP:${PN} = "ldflags"
diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc
index da09ec0f..ba42fd5f 100644
--- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc
+++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc
@@ -2,6 +2,7 @@ REPO ?= "git://github.com/Xilinx/XRT.git;protocol=https"
2BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" 2BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}"
3SRC_URI = "${REPO};${BRANCHARG}" 3SRC_URI = "${REPO};${BRANCHARG}"
4 4
5BRANCH= "2022.1" 5BRANCH= "master"
6SRCREV= "2a6dc026480914ea1c9f02977a6ab4b57e8a3c8d" 6SRCREV= "910828b3abdbf66b10cb6efc952e75df64962340"
7PV = "202210.2.13.0" 7PV = "202220.2.14.0"
8
diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb b/meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb
index f55b83ae..b8071a65 100644
--- a/meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb
+++ b/meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb
@@ -8,12 +8,11 @@ LIC_FILES_CHKSUM = "file://../LICENSE;md5=da5408f748bce8a9851dac18e66f4bcf \
8 file://runtime_src/core/edge/drm/zocl/LICENSE;md5=7d040f51aae6ac6208de74e88a3795f8 \ 8 file://runtime_src/core/edge/drm/zocl/LICENSE;md5=7d040f51aae6ac6208de74e88a3795f8 \
9 file://runtime_src/core/pcie/driver/linux/xocl/LICENSE;md5=b234ee4d69f5fce4486a80fdaf4a4263 \ 9 file://runtime_src/core/pcie/driver/linux/xocl/LICENSE;md5=b234ee4d69f5fce4486a80fdaf4a4263 \
10 file://runtime_src/core/pcie/linux/LICENSE;md5=3b83ef96387f14655fc854ddc3c6bd57 \ 10 file://runtime_src/core/pcie/linux/LICENSE;md5=3b83ef96387f14655fc854ddc3c6bd57 \
11 file://runtime_src/core/pcie/tools/xbutil/LICENSE;md5=d273d63619c9aeaf15cdaf76422c4f87 \ 11 file://runtime_src/core/tools/xbutil2/LICENSE;md5=3b83ef96387f14655fc854ddc3c6bd57 "
12 file://runtime_src/core/edge/tools/xbutil/LICENSE;md5=d273d63619c9aeaf15cdaf76422c4f87 "
13 12
14S = "${WORKDIR}/git/src" 13S = "${WORKDIR}/git/src"
15 14
16inherit cmake pkgconfig 15inherit cmake
17 16
18BBCLASSEXTEND = "native nativesdk" 17BBCLASSEXTEND = "native nativesdk"
19 18
@@ -41,7 +40,8 @@ FILES:${PN} += "\
41 ${libdir}/lib*.so \ 40 ${libdir}/lib*.so \
42 ${libdir}/lib*.so.* \ 41 ${libdir}/lib*.so.* \
43 ${libdir}/ps_kernels_lib \ 42 ${libdir}/ps_kernels_lib \
44 /lib/*.so* " 43 /lib/*.so* \
44 ${datadir}"
45INSANE_SKIP:${PN} += "dev-so" 45INSANE_SKIP:${PN} += "dev-so"
46 46
47pkg_postinst_ontarget:${PN}() { 47pkg_postinst_ontarget:${PN}() {