From e24f19564b95cd86c8a75cf193a4e0b88e80011d Mon Sep 17 00:00:00 2001 From: Manikanta Sreeram Date: Mon, 6 Mar 2023 02:45:29 -0700 Subject: Revert "xlnx-embeddedsw: Move branch to 2023.1 release" reverting as we still need to continue to use xlnx_rel_v2023.1-next branch This reverts commit 84bcd7a4dc5ba55fa74bec1bee0786ce45c049ee. Conflicts: meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index f65a02d8..2291e525 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -3,17 +3,17 @@ ESW_VER ?= "${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or 'master' REPO ??= "git://github.com/Xilinx/embeddedsw.git;protocol=https" -ESW_BRANCH[2023.1] = "xlnx_rel_v2023.1" +ESW_BRANCH[2023.1] = "xlnx_rel_v2023.1-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" -ESW_REV[2023.1] = "53e35139d56609e56451d2a7d7fe89e8040c9e52" +ESW_REV[2023.1] = "14809f266c6ec79b517d976f882ba496be3e1998" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" EMBEDDEDSW_SRCURI ?= "${REPO};${EMBEDDEDSW_BRANCHARG}" LICENSE = "MIT" -LIC_FILES_CHKSUM[xlnx_rel_v2023.1] = '15386ea7656d3b83815bce88c0bbe66d' +LIC_FILES_CHKSUM[xlnx_rel_v2023.1-next] = '15386ea7656d3b83815bce88c0bbe66d' LIC_FILES_CHKSUM ??= "file://license.txt;md5=${@d.getVarFlag('LIC_FILES_CHKSUM', d.getVar('BRANCH')) or '0'}" SRC_URI = "${EMBEDDEDSW_SRCURI}" -- cgit v1.2.3-54-g00ecf From 613cb1e39ea3dbab7ad261e3ba3c4b4da00f385b Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 7 Mar 2023 12:37:29 +0530 Subject: Updated SRCREV for 2023.1_3191 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.1.inc | 2 +- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 3 files changed, 3 insertions(+), 3 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index e659e238..da3a8f91 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "f9c452f7b1f4b9cc8105d7d12321b294a1325087" +SRCREV ?= "a6b55e54e9af4856e7eead1bbf06f4b1afb64c0f" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.1.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.1.inc index ad25cd1d..c2c54090 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.1.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.1.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "f32d07b9b511736649314d505f6b1caaf8d4ac75" +SRCREV = "87298fde44b43e0552f0f77d7d3c1aaf4f7c9566" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 2291e525..01940e12 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -6,7 +6,7 @@ REPO ??= "git://github.com/Xilinx/embeddedsw.git;protocol=https" ESW_BRANCH[2023.1] = "xlnx_rel_v2023.1-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" -ESW_REV[2023.1] = "14809f266c6ec79b517d976f882ba496be3e1998" +ESW_REV[2023.1] = "5d75b745952f39bc4f37189257f2f228d99089f3" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From a27b16e0414d19d9cfbc90be495f1cceb1f8e419 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Tue, 7 Mar 2023 13:34:39 -0800 Subject: Revert "Updated SRCREV for 2023.1_3191" This reverts commit 613cb1e39ea3dbab7ad261e3ba3c4b4da00f385b. Revert aie-rt SRCREV change, this rev is not in the main-aie branch. Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index da3a8f91..e659e238 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "a6b55e54e9af4856e7eead1bbf06f4b1afb64c0f" +SRCREV ?= "f9c452f7b1f4b9cc8105d7d12321b294a1325087" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From d707343791423fad74ce0a3478829e6674d8c9a3 Mon Sep 17 00:00:00 2001 From: Ben Levinsky Date: Thu, 2 Mar 2023 10:06:57 -0800 Subject: open-amp: Add Versal NET DTs Signed-off-by: Ben Levinsky Signed-off-by: Mark Hatle --- .../recipes-bsp/device-tree/device-tree.bbappend | 1 + .../files/versal-net-openamp-overlay.dts | 13 +++ .../device-tree/files/versal-net-openamp.dtsi | 97 ++++++++++++++++++++++ .../device-tree/open-amp-device-tree.bb | 3 + 4 files changed, 114 insertions(+) create mode 100644 meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/files/versal-net-openamp-overlay.dts create mode 100644 meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/files/versal-net-openamp.dtsi diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/device-tree.bbappend b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/device-tree.bbappend index b050dee6..b7c7141e 100644 --- a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/device-tree.bbappend +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/device-tree.bbappend @@ -4,6 +4,7 @@ SRC_URI = " \ file://zynq-openamp.dtsi \ file://zynqmp-openamp.dtsi \ file://versal-openamp.dtsi \ + file://versal-net-openamp.dtsi \ " # openamp.dtsi is in the WORKDIR diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/files/versal-net-openamp-overlay.dts b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/files/versal-net-openamp-overlay.dts new file mode 100644 index 00000000..9fdebe39 --- /dev/null +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/files/versal-net-openamp-overlay.dts @@ -0,0 +1,13 @@ +/* + * SPDX-License-Identifier: MIT + * + * dts overlay file for Versal NET OpenAMP + * + * Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. + * + */ + +/dts-v1/; +/plugin/; + +#include "versal-net-openamp.dtsi" diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/files/versal-net-openamp.dtsi b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/files/versal-net-openamp.dtsi new file mode 100644 index 00000000..773c35a3 --- /dev/null +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/files/versal-net-openamp.dtsi @@ -0,0 +1,97 @@ +/* + * SPDX-License-Identifier: MIT + * + * dts file for Versal NET OpenAMP + * + * Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. + * + */ + +&{/} { + reserved-memory { + #address-cells = <2>; + #size-cells = <2>; + ranges; + rproc_0_reserved: rproc@3ed00000 { + no-map; + reg = <0x0 0x3ed00000 0x0 0x40000>; + }; + rpu0vdev0vring0: rpu0vdev0vring0@3ed40000 { + no-map; + reg = <0x0 0x3ed40000 0x0 0x4000>; + }; + rpu0vdev0vring1: rpu0vdev0vring1@3ed44000 { + no-map; + reg = <0x0 0x3ed44000 0x0 0x4000>; + }; + rpu0vdev0buffer: rpu0vdev0buffer@3ed48000 { + no-map; + reg = <0x0 0x3ed48000 0x0 0x100000>; + }; + }; + + tcm_0a: tcm_0a@eba00000 { + no-map; + reg = <0x0 0xeba00000 0x0 0x10000>; + status = "okay"; + compatible = "mmio-sram"; + power-domain = <&versal_firmware 0x183180cb>; + }; + + tcm_0b: tcm_0b@eba10000 { + no-map; + reg = <0x0 0xeba10000 0x0 0x8000>; + status = "okay"; + compatible = "mmio-sram"; + power-domain = <&versal_firmware 0x183180cc>; + }; + + tcm_0c: tcm_0b@eba20000 { + no-map; + reg = <0x0 0xeba20000 0x0 0x8000>; + status = "okay"; + compatible = "mmio-sram"; + power-domain = <&versal_firmware 0x183180cd>; + }; + + r52ss { + compatible = "xlnx,versal-net-r52-remoteproc"; + #address-cells = <0x2>; + #size-cells = <0x2>; + ranges; + xlnx,cluster-mode = <1>; + + r52_0 { + compatible = "xilinx,r52"; + #address-cells = <0x2>; + #size-cells = <0x2>; + ranges; + sram = <&tcm_0a>, <&tcm_0b>, <&tcm_0c>; + memory-region = <&rproc_0_reserved>, <&rpu0vdev0buffer>, <&rpu0vdev0vring0>, <&rpu0vdev0vring1>; + power-domain = <&versal_firmware 0x181100BF>; + mboxes = <&ipi_mailbox_rpu0 0>, <&ipi_mailbox_rpu0 1>; + mbox-names = "tx", "rx"; + }; + }; + + zynqmp_ipi1 { + compatible = "xlnx,zynqmp-ipi-mailbox"; + interrupt-parent = <&gic>; + interrupts = <0x00 0x3c 0x04>; + xlnx,ipi-id = <5>; + #address-cells = <1>; + #size-cells = <1>; + ranges; + + /* APU<->RPU0 IPI mailbox controller */ + ipi_mailbox_rpu0: mailbox@eb3f0ac0 { + reg = <0xeb3f0ac0 0x20 0xeb3f0ae0 0x20 0xeb3f0740 0x20 0xeb3f0760 0x20>; + reg-names = "local_request_region", + "local_response_region", + "remote_request_region", + "remote_response_region"; + #mbox-cells = <0x01>; + xlnx,ipi-id = <0x03>; + }; + }; +}; diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/open-amp-device-tree.bb b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/open-amp-device-tree.bb index 8f77bd54..9f481fec 100644 --- a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/open-amp-device-tree.bb +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/open-amp-device-tree.bb @@ -11,6 +11,8 @@ SRC_URI = " \ file://zynqmp-openamp-overlay.dts \ file://versal-openamp.dtsi \ file://versal-openamp-overlay.dts \ + file://versal-net-openamp.dtsi \ + file://versal-net-openamp-overlay.dts \ " # We don't have anything to include from the kernel @@ -19,6 +21,7 @@ KERNEL_INCLUDE = "" COMPATIBLE_MACHINE:zynq = "${MACHINE}" COMPATIBLE_MACHINE:zynqmp = "${MACHINE}" COMPATIBLE_MACHINE:versal = "${MACHINE}" +COMPATIBLE_MACHINE:versal-net = "${MACHINE}" inherit devicetree image-artifact-names features_check -- cgit v1.2.3-54-g00ecf From 7c0f00df2c4d364635c57f2ed7c9b3a67d76ef1c Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Tue, 7 Mar 2023 07:31:23 -0800 Subject: Start 2023.2 development Signed-off-by: Mark Hatle --- ...rm-xilinx-Add-encoder-for-Digilent-boards.patch | 305 +++++++++++ ...002-clk-Add-driver-for-axi_dynclk-IP-Core.patch | 607 +++++++++++++++++++++ ...0003-drm-xilinx-Fix-DPMS-transition-to-on.patch | 54 ++ .../v2023.2/0004-minized-wifi-bluetooth.cfg | 33 ++ .../linux/linux-xlnx_2023.2.bbappend | 12 + meta-xilinx-core/conf/layer.conf | 14 +- .../libmetal/libmetal-xlnx_v2023.2.bb | 15 + .../open-amp/open-amp-xlnx_v2023.2.bb | 16 + .../arm-trusted-firmware_2023.2.bb | 8 + .../recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb | 72 +++ .../recipes-bsp/libdfx/libdfx_2023.2.bb | 23 + .../recipes-bsp/u-boot/u-boot-tools-xlnx_2023.2.bb | 21 + .../recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 17 + .../recipes-bsp/u-boot/u-boot-xlnx_2023.2.bb | 4 + .../qemu/qemu-devicetrees_2023.2.bb | 6 + .../recipes-devtools/qemu/qemu-xilinx-2023.2.inc | 3 + .../qemu/qemu-xilinx-native_2023.2.bb | 9 + .../qemu/qemu-xilinx-system-native_2023.2.bb | 26 + .../recipes-devtools/qemu/qemu-xilinx_2023.2.bb | 24 + .../recipes-kernel/dp/kernel-module-dp_2023.2.bb | 24 + .../hdmi/kernel-module-hdmi_2023.2.bb | 24 + .../recipes-kernel/linux/linux-xlnx_2023.2.bb | 11 + meta-xilinx-standalone-experimental/README.md | 2 +- .../classes/xlnx-embeddedsw.bbclass | 3 + .../recipes-bsp/embeddedsw/fsbl-firmware_2023.2.bb | 11 + .../recipes-bsp/embeddedsw/plm-firmware_2023.2.bb | 29 + .../recipes-bsp/embeddedsw/pmu-firmware_2023.2.bb | 16 + .../recipes-bsp/embeddedsw/psm-firmware_2023.2.bb | 34 ++ 28 files changed, 1413 insertions(+), 10 deletions(-) create mode 100644 meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx/v2023.2/0001-drm-xilinx-Add-encoder-for-Digilent-boards.patch create mode 100644 meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx/v2023.2/0002-clk-Add-driver-for-axi_dynclk-IP-Core.patch create mode 100644 meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx/v2023.2/0003-drm-xilinx-Fix-DPMS-transition-to-on.patch create mode 100644 meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx/v2023.2/0004-minized-wifi-bluetooth.cfg create mode 100644 meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx_2023.2.bbappend create mode 100644 meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.2.bb create mode 100644 meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb create mode 100644 meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb create mode 100644 meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb create mode 100644 meta-xilinx-core/recipes-bsp/libdfx/libdfx_2023.2.bb create mode 100644 meta-xilinx-core/recipes-bsp/u-boot/u-boot-tools-xlnx_2023.2.bb create mode 100644 meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc create mode 100644 meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx_2023.2.bb create mode 100644 meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb create mode 100644 meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc create mode 100644 meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-native_2023.2.bb create mode 100644 meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_2023.2.bb create mode 100644 meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2023.2.bb create mode 100644 meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_2023.2.bb create mode 100644 meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.2.bb create mode 100644 meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb create mode 100644 meta-xilinx-standalone/recipes-bsp/embeddedsw/fsbl-firmware_2023.2.bb create mode 100644 meta-xilinx-standalone/recipes-bsp/embeddedsw/plm-firmware_2023.2.bb create mode 100644 meta-xilinx-standalone/recipes-bsp/embeddedsw/pmu-firmware_2023.2.bb create mode 100644 meta-xilinx-standalone/recipes-bsp/embeddedsw/psm-firmware_2023.2.bb diff --git a/meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx/v2023.2/0001-drm-xilinx-Add-encoder-for-Digilent-boards.patch b/meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx/v2023.2/0001-drm-xilinx-Add-encoder-for-Digilent-boards.patch new file mode 100644 index 00000000..660bc218 --- /dev/null +++ b/meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx/v2023.2/0001-drm-xilinx-Add-encoder-for-Digilent-boards.patch @@ -0,0 +1,305 @@ +From 21cc8144efdaa3cd8dbd7279f87b14fa3432fae4 Mon Sep 17 00:00:00 2001 +From: Jason Wu +Date: Sun, 10 Apr 2016 13:14:13 +1000 +Subject: [PATCH 1/3] drm: xilinx: Add encoder for Digilent boards + +Add the dglnt_encoder driver that enables DRM support for the VGA and +HDMI output ports found on many Digilent boards. + +Upstream-Status: Pending + +Signed-off-by: Sam Bobrowicz +Signed-off-by: Jason Wu +--- + .../bindings/drm/xilinx/dglnt_encoder.txt | 23 +++ + drivers/gpu/drm/xilinx/Kconfig | 6 + + drivers/gpu/drm/xilinx/Makefile | 1 + + drivers/gpu/drm/xilinx/dglnt_encoder.c | 217 +++++++++++++++++++++ + 4 files changed, 247 insertions(+) + create mode 100644 Documentation/devicetree/bindings/drm/xilinx/dglnt_encoder.txt + create mode 100644 drivers/gpu/drm/xilinx/dglnt_encoder.c + +diff --git a/Documentation/devicetree/bindings/drm/xilinx/dglnt_encoder.txt b/Documentation/devicetree/bindings/drm/xilinx/dglnt_encoder.txt +new file mode 100644 +index 0000000..242b24e +--- /dev/null ++++ b/Documentation/devicetree/bindings/drm/xilinx/dglnt_encoder.txt +@@ -0,0 +1,23 @@ ++Device-Tree bindings for Digilent DRM Encoder Slave ++ ++This driver provides support for VGA and HDMI outputs on Digilent FPGA boards. ++The VGA or HDMI port must be connected to a Xilinx display pipeline via an ++axi2vid IP core. ++ ++Required properties: ++ - compatible: Should be "digilent,drm-encoder". ++ ++Optional properties: ++ - dglnt,edid-i2c: The I2C device connected to the DDC bus on the video ++ connector. This is used to obtain the supported resolutions ++ of an attached monitor. If not defined, then a default ++ set of resolutions is used and the display will initialize ++ to 720p. Note most VGA connectors on Digilent boards do ++ not have the DDC bus routed out. ++ ++Example: ++ ++ encoder_0: digilent_encoder { ++ compatible = "digilent,drm-encoder"; ++ dglnt,edid-i2c = <&i2c1>; ++ }; +diff --git a/drivers/gpu/drm/xilinx/Kconfig b/drivers/gpu/drm/xilinx/Kconfig +index 57e18a9..d9ecff2 100644 +--- a/drivers/gpu/drm/xilinx/Kconfig ++++ b/drivers/gpu/drm/xilinx/Kconfig +@@ -33,6 +33,12 @@ config DRM_XILINX_DP_SUB + help + DRM driver for Xilinx Display Port Subsystem. + ++config DRM_DIGILENT_ENCODER ++ tristate "Digilent VGA/HDMI DRM Encoder Driver" ++ depends on DRM_XILINX ++ help ++ DRM slave encoder for Video-out on Digilent boards. ++ + config DRM_XILINX_DP_SUB_DEBUG_FS + bool "Xilinx DRM DPSUB debugfs" + depends on DEBUG_FS && DRM_XILINX_DP_SUB +diff --git a/drivers/gpu/drm/xilinx/Makefile b/drivers/gpu/drm/xilinx/Makefile +index 19bc154..c2717e40 100644 +--- a/drivers/gpu/drm/xilinx/Makefile ++++ b/drivers/gpu/drm/xilinx/Makefile +@@ -7,6 +7,7 @@ xilinx_drm-y := xilinx_drm_crtc.o xilinx_drm_connector.o xilinx_drm_drv.o \ + xilinx_drm_plane.o + xilinx_drm-y += xilinx_cresample.o xilinx_osd.o xilinx_rgb2yuv.o xilinx_vtc.o + ++obj-$(CONFIG_DRM_DIGILENT_ENCODER) += dglnt_encoder.o + obj-$(CONFIG_DRM_XILINX) += xilinx_drm.o + obj-$(CONFIG_DRM_XILINX_DP) += xilinx_drm_dp.o + obj-$(CONFIG_DRM_XILINX_DP_SUB) += xilinx_drm_dp_sub.o +diff --git a/drivers/gpu/drm/xilinx/dglnt_encoder.c b/drivers/gpu/drm/xilinx/dglnt_encoder.c +new file mode 100644 +index 0000000..cb9fc7d +--- /dev/null ++++ b/drivers/gpu/drm/xilinx/dglnt_encoder.c +@@ -0,0 +1,217 @@ ++/* ++ * dglnt_encoder.c - DRM slave encoder for Video-out on Digilent boards ++ * ++ * Copyright (C) 2015 Digilent ++ * Author: Sam Bobrowicz ++ * ++ * Based on udl_encoder.c and udl_connector.c, Copyright (C) 2012 Red Hat. ++ * Also based on xilinx_drm_dp.c, Copyright (C) 2014 Xilinx, Inc. ++ * ++ * This software is licensed under the terms of the GNU General Public ++ * License version 2, as published by the Free Software Foundation, and ++ * may be copied, distributed, and modified under those terms. ++ * ++ * This program is distributed in the hope that it will be useful, ++ * but WITHOUT ANY WARRANTY; without even the implied warranty of ++ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the ++ * GNU General Public License for more details. ++ */ ++ ++#include ++#include ++#include ++ ++#include ++#include ++#include ++#include ++#include ++#include ++#include ++ ++#define DGLNT_ENC_MAX_FREQ 150000 ++#define DGLNT_ENC_MAX_H 1920 ++#define DGLNT_ENC_MAX_V 1080 ++#define DGLNT_ENC_PREF_H 1280 ++#define DGLNT_ENC_PREF_V 720 ++ ++struct dglnt_encoder { ++ struct drm_encoder *encoder; ++ struct i2c_adapter *i2c_bus; ++ bool i2c_present; ++}; ++ ++static inline struct dglnt_encoder *to_dglnt_encoder( ++ struct drm_encoder *encoder) ++{ ++ return to_encoder_slave(encoder)->slave_priv; ++} ++ ++static bool dglnt_mode_fixup(struct drm_encoder *encoder, ++ const struct drm_display_mode *mode, ++ struct drm_display_mode *adjusted_mode) ++{ ++ return true; ++} ++ ++static void dglnt_encoder_mode_set(struct drm_encoder *encoder, ++ struct drm_display_mode *mode, ++ struct drm_display_mode *adjusted_mode) ++{ ++} ++ ++static void ++dglnt_encoder_dpms(struct drm_encoder *encoder, int mode) ++{ ++} ++ ++static void dglnt_encoder_save(struct drm_encoder *encoder) ++{ ++} ++ ++static void dglnt_encoder_restore(struct drm_encoder *encoder) ++{ ++} ++ ++static int dglnt_encoder_mode_valid(struct drm_encoder *encoder, ++ struct drm_display_mode *mode) ++{ ++ if (mode && ++ !(mode->flags & ((DRM_MODE_FLAG_INTERLACE | ++ DRM_MODE_FLAG_DBLCLK) | DRM_MODE_FLAG_3D_MASK)) && ++ (mode->clock <= DGLNT_ENC_MAX_FREQ) && ++ (mode->hdisplay <= DGLNT_ENC_MAX_H) && ++ (mode->vdisplay <= DGLNT_ENC_MAX_V)) ++ return MODE_OK; ++ return MODE_BAD; ++} ++ ++static int dglnt_encoder_get_modes(struct drm_encoder *encoder, ++ struct drm_connector *connector) ++{ ++ struct dglnt_encoder *dglnt = to_dglnt_encoder(encoder); ++ struct edid *edid; ++ int num_modes = 0; ++ ++ if (dglnt->i2c_present) { ++ edid = drm_get_edid(connector, dglnt->i2c_bus); ++ drm_connector_update_edid_property(connector, edid); ++ if (edid) { ++ num_modes = drm_add_edid_modes(connector, edid); ++ kfree(edid); ++ } ++ } else { ++ num_modes = drm_add_modes_noedid(connector, DGLNT_ENC_MAX_H, ++ DGLNT_ENC_MAX_V); ++ drm_set_preferred_mode(connector, DGLNT_ENC_PREF_H, ++ DGLNT_ENC_PREF_V); ++ } ++ return num_modes; ++} ++ ++static enum drm_connector_status dglnt_encoder_detect( ++ struct drm_encoder *encoder, ++ struct drm_connector *connector) ++{ ++ struct dglnt_encoder *dglnt = to_dglnt_encoder(encoder); ++ ++ if (dglnt->i2c_present) { ++ if (drm_probe_ddc(dglnt->i2c_bus)) ++ return connector_status_connected; ++ return connector_status_disconnected; ++ } else ++ return connector_status_unknown; ++} ++ ++static struct drm_encoder_slave_funcs dglnt_encoder_slave_funcs = { ++ .dpms = dglnt_encoder_dpms, ++ .save = dglnt_encoder_save, ++ .restore = dglnt_encoder_restore, ++ .mode_fixup = dglnt_mode_fixup, ++ .mode_valid = dglnt_encoder_mode_valid, ++ .mode_set = dglnt_encoder_mode_set, ++ .detect = dglnt_encoder_detect, ++ .get_modes = dglnt_encoder_get_modes, ++}; ++ ++static int dglnt_encoder_encoder_init(struct platform_device *pdev, ++ struct drm_device *dev, ++ struct drm_encoder_slave *encoder) ++{ ++ struct dglnt_encoder *dglnt = platform_get_drvdata(pdev); ++ struct device_node *sub_node; ++ ++ encoder->slave_priv = dglnt; ++ encoder->slave_funcs = &dglnt_encoder_slave_funcs; ++ ++ dglnt->encoder = &encoder->base; ++ ++ /* get i2c adapter for edid */ ++ dglnt->i2c_present = false; ++ sub_node = of_parse_phandle(pdev->dev.of_node, "dglnt,edid-i2c", 0); ++ if (sub_node) { ++ dglnt->i2c_bus = of_find_i2c_adapter_by_node(sub_node); ++ if (!dglnt->i2c_bus) ++ DRM_INFO("failed to get the edid i2c adapter, using default modes\n"); ++ else ++ dglnt->i2c_present = true; ++ of_node_put(sub_node); ++ } ++ ++ return 0; ++} ++ ++static int dglnt_encoder_probe(struct platform_device *pdev) ++{ ++ struct dglnt_encoder *dglnt; ++ ++ dglnt = devm_kzalloc(&pdev->dev, sizeof(*dglnt), GFP_KERNEL); ++ if (!dglnt) ++ return -ENOMEM; ++ ++ platform_set_drvdata(pdev, dglnt); ++ ++ return 0; ++} ++ ++static int dglnt_encoder_remove(struct platform_device *pdev) ++{ ++ return 0; ++} ++ ++static const struct of_device_id dglnt_encoder_of_match[] = { ++ { .compatible = "digilent,drm-encoder", }, ++ { /* end of table */ }, ++}; ++MODULE_DEVICE_TABLE(of, dglnt_encoder_of_match); ++ ++static struct drm_platform_encoder_driver dglnt_encoder_driver = { ++ .platform_driver = { ++ .probe = dglnt_encoder_probe, ++ .remove = dglnt_encoder_remove, ++ .driver = { ++ .owner = THIS_MODULE, ++ .name = "dglnt-drm-enc", ++ .of_match_table = dglnt_encoder_of_match, ++ }, ++ }, ++ ++ .encoder_init = dglnt_encoder_encoder_init, ++}; ++ ++static int __init dglnt_encoder_init(void) ++{ ++ return platform_driver_register(&dglnt_encoder_driver.platform_driver); ++} ++ ++static void __exit dglnt_encoder_exit(void) ++{ ++ platform_driver_unregister(&dglnt_encoder_driver.platform_driver); ++} ++ ++module_init(dglnt_encoder_init); ++module_exit(dglnt_encoder_exit); ++ ++MODULE_AUTHOR("Digilent, Inc."); ++MODULE_DESCRIPTION("DRM slave encoder for Video-out on Digilent boards"); ++MODULE_LICENSE("GPL v2"); +-- +2.7.4 + diff --git a/meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx/v2023.2/0002-clk-Add-driver-for-axi_dynclk-IP-Core.patch b/meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx/v2023.2/0002-clk-Add-driver-for-axi_dynclk-IP-Core.patch new file mode 100644 index 00000000..9b6229db --- /dev/null +++ b/meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx/v2023.2/0002-clk-Add-driver-for-axi_dynclk-IP-Core.patch @@ -0,0 +1,607 @@ +From 217e3b6f4393926b8dcad841381527ef3fc808c2 Mon Sep 17 00:00:00 2001 +From: Jason Wu +Date: Sun, 10 Apr 2016 13:16:06 +1000 +Subject: [PATCH 2/3] clk: Add driver for axi_dynclk IP Core + +Add support for the axi_dynclk IP Core available from Digilent. This IP +core dynamically configures the clock resources inside a Xilinx FPGA to +generate a clock with a software programmable frequency. + +Upstream-Status: Pending + +Signed-off-by: Sam Bobrowicz +Signed-off-by: Jason Wu +--- + drivers/clk/Kconfig | 8 + + drivers/clk/Makefile | 1 + + drivers/clk/clk-dglnt-dynclk.c | 547 +++++++++++++++++++++++++++++++++++++++++ + 3 files changed, 556 insertions(+) + create mode 100644 drivers/clk/clk-dglnt-dynclk.c + +diff --git a/drivers/clk/Kconfig b/drivers/clk/Kconfig +index dccb111100..7fe65a702b 100644 +--- a/drivers/clk/Kconfig ++++ b/drivers/clk/Kconfig +@@ -148,6 +148,14 @@ config CLK_QORIQ + This adds the clock driver support for Freescale QorIQ platforms + using common clock framework. + ++config COMMON_CLK_DGLNT_DYNCLK ++ tristate "Digilent axi_dynclk Driver" ++ depends on ARCH_ZYNQ || MICROBLAZE ++ help ++ ---help--- ++ Support for the Digilent AXI Dynamic Clock core for Xilinx ++ FPGAs. ++ + config COMMON_CLK_XGENE + bool "Clock driver for APM XGene SoC" + default y +diff --git a/drivers/clk/Makefile b/drivers/clk/Makefile +index 0760449dde..45ce97d053 100644 +--- a/drivers/clk/Makefile ++++ b/drivers/clk/Makefile +@@ -24,6 +24,7 @@ obj-$(CONFIG_COMMON_CLK_CDCE706) += clk-cdce706.o + obj-$(CONFIG_COMMON_CLK_CDCE925) += clk-cdce925.o + obj-$(CONFIG_ARCH_CLPS711X) += clk-clps711x.o + obj-$(CONFIG_COMMON_CLK_CS2000_CP) += clk-cs2000-cp.o ++obj-$(CONFIG_COMMON_CLK_DGLNT_DYNCLK) += clk-dglnt-dynclk.o + obj-$(CONFIG_ARCH_EFM32) += clk-efm32gg.o + obj-$(CONFIG_ARCH_HIGHBANK) += clk-highbank.o + obj-$(CONFIG_COMMON_CLK_MAX77686) += clk-max77686.o +diff --git a/drivers/clk/clk-dglnt-dynclk.c b/drivers/clk/clk-dglnt-dynclk.c +new file mode 100644 +index 0000000000..496ad5fc90 +--- /dev/null ++++ b/drivers/clk/clk-dglnt-dynclk.c +@@ -0,0 +1,547 @@ ++/* ++ * clk-dglnt-dynclk.c - Digilent AXI Dynamic Clock (axi_dynclk) Driver ++ * ++ * Copyright (C) 2015 Digilent ++ * Author: Sam Bobrowicz ++ * ++ * Reused code from clk-axi-clkgen.c, Copyright (C) 2012-2013 Analog Devices Inc. ++ * ++ * This software is licensed under the terms of the GNU General Public ++ * License version 2, as published by the Free Software Foundation, and ++ * may be copied, distributed, and modified under those terms. ++ * ++ * This program is distributed in the hope that it will be useful, ++ * but WITHOUT ANY WARRANTY; without even the implied warranty of ++ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the ++ * GNU General Public License for more details. ++ */ ++ ++#include ++#include ++#include ++#include ++#include ++#include ++#include ++#include ++#include ++ ++#define CLK_BIT_WEDGE 13 ++#define CLK_BIT_NOCOUNT 12 ++ ++/* This value is used to signal an error */ ++#define ERR_CLKCOUNTCALC 0xFFFFFFFF ++#define ERR_CLKDIVIDER (1 << CLK_BIT_WEDGE | 1 << CLK_BIT_NOCOUNT) ++ ++#define DYNCLK_DIV_1_REGMASK 0x1041 ++/* 25 MHz (125 KHz / 5) */ ++#define DYNCLK_DEFAULT_FREQ 125000 ++ ++#define MMCM_FREQ_VCOMIN 600000 ++#define MMCM_FREQ_VCOMAX 1200000 ++#define MMCM_FREQ_PFDMIN 10000 ++#define MMCM_FREQ_PFDMAX 450000 ++#define MMCM_FREQ_OUTMIN 4000 ++#define MMCM_FREQ_OUTMAX 800000 ++#define MMCM_DIV_MAX 106 ++#define MMCM_FB_MIN 2 ++#define MMCM_FB_MAX 64 ++#define MMCM_CLKDIV_MAX 128 ++#define MMCM_CLKDIV_MIN 1 ++ ++#define OFST_DISPLAY_CTRL 0x0 ++#define OFST_DISPLAY_STATUS 0x4 ++#define OFST_DISPLAY_CLK_L 0x8 ++#define OFST_DISPLAY_FB_L 0x0C ++#define OFST_DISPLAY_FB_H_CLK_H 0x10 ++#define OFST_DISPLAY_DIV 0x14 ++#define OFST_DISPLAY_LOCK_L 0x18 ++#define OFST_DISPLAY_FLTR_LOCK_H 0x1C ++ ++static const u64 lock_lookup[64] = { ++ 0b0011000110111110100011111010010000000001, ++ 0b0011000110111110100011111010010000000001, ++ 0b0100001000111110100011111010010000000001, ++ 0b0101101011111110100011111010010000000001, ++ 0b0111001110111110100011111010010000000001, ++ 0b1000110001111110100011111010010000000001, ++ 0b1001110011111110100011111010010000000001, ++ 0b1011010110111110100011111010010000000001, ++ 0b1100111001111110100011111010010000000001, ++ 0b1110011100111110100011111010010000000001, ++ 0b1111111111111000010011111010010000000001, ++ 0b1111111111110011100111111010010000000001, ++ 0b1111111111101110111011111010010000000001, ++ 0b1111111111101011110011111010010000000001, ++ 0b1111111111101000101011111010010000000001, ++ 0b1111111111100111000111111010010000000001, ++ 0b1111111111100011111111111010010000000001, ++ 0b1111111111100010011011111010010000000001, ++ 0b1111111111100000110111111010010000000001, ++ 0b1111111111011111010011111010010000000001, ++ 0b1111111111011101101111111010010000000001, ++ 0b1111111111011100001011111010010000000001, ++ 0b1111111111011010100111111010010000000001, ++ 0b1111111111011001000011111010010000000001, ++ 0b1111111111011001000011111010010000000001, ++ 0b1111111111010111011111111010010000000001, ++ 0b1111111111010101111011111010010000000001, ++ 0b1111111111010101111011111010010000000001, ++ 0b1111111111010100010111111010010000000001, ++ 0b1111111111010100010111111010010000000001, ++ 0b1111111111010010110011111010010000000001, ++ 0b1111111111010010110011111010010000000001, ++ 0b1111111111010010110011111010010000000001, ++ 0b1111111111010001001111111010010000000001, ++ 0b1111111111010001001111111010010000000001, ++ 0b1111111111010001001111111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001, ++ 0b1111111111001111101011111010010000000001 ++}; ++ ++static const u32 filter_lookup_low[64] = { ++ 0b0001011111, ++ 0b0001010111, ++ 0b0001111011, ++ 0b0001011011, ++ 0b0001101011, ++ 0b0001110011, ++ 0b0001110011, ++ 0b0001110011, ++ 0b0001110011, ++ 0b0001001011, ++ 0b0001001011, ++ 0b0001001011, ++ 0b0010110011, ++ 0b0001010011, ++ 0b0001010011, ++ 0b0001010011, ++ 0b0001010011, ++ 0b0001010011, ++ 0b0001010011, ++ 0b0001010011, ++ 0b0001010011, ++ 0b0001010011, ++ 0b0001010011, ++ 0b0001100011, ++ 0b0001100011, ++ 0b0001100011, ++ 0b0001100011, ++ 0b0001100011, ++ 0b0001100011, ++ 0b0001100011, ++ 0b0001100011, ++ 0b0001100011, ++ 0b0001100011, ++ 0b0001100011, ++ 0b0001100011, ++ 0b0001100011, ++ 0b0001100011, ++ 0b0010010011, ++ 0b0010010011, ++ 0b0010010011, ++ 0b0010010011, ++ 0b0010010011, ++ 0b0010010011, ++ 0b0010010011, ++ 0b0010010011, ++ 0b0010010011, ++ 0b0010010011, ++ 0b0010100011, ++ 0b0010100011, ++ 0b0010100011, ++ 0b0010100011, ++ 0b0010100011, ++ 0b0010100011, ++ 0b0010100011, ++ 0b0010100011, ++ 0b0010100011, ++ 0b0010100011, ++ 0b0010100011, ++ 0b0010100011, ++ 0b0010100011, ++ 0b0010100011, ++ 0b0010100011, ++ 0b0010100011, ++ 0b0010100011 ++}; ++ ++struct dglnt_dynclk_reg; ++struct dglnt_dynclk_mode; ++struct dglnt_dynclk; ++ ++struct dglnt_dynclk_reg { ++ u32 clk0L; ++ u32 clkFBL; ++ u32 clkFBH_clk0H; ++ u32 divclk; ++ u32 lockL; ++ u32 fltr_lockH; ++}; ++ ++struct dglnt_dynclk_mode { ++ u32 freq; ++ u32 fbmult; ++ u32 clkdiv; ++ u32 maindiv; ++}; ++ ++struct dglnt_dynclk { ++ void __iomem *base; ++ struct clk_hw clk_hw; ++ unsigned long freq; ++}; ++ ++u32 dglnt_dynclk_divider(u32 divide) ++{ ++ u32 output = 0; ++ u32 highTime = 0; ++ u32 lowTime = 0; ++ ++ if ((divide < 1) || (divide > 128)) ++ return ERR_CLKDIVIDER; ++ ++ if (divide == 1) ++ return DYNCLK_DIV_1_REGMASK; ++ ++ highTime = divide / 2; ++ /* if divide is odd */ ++ if (divide & 0x1) { ++ lowTime = highTime + 1; ++ output = 1 << CLK_BIT_WEDGE; ++ } else { ++ lowTime = highTime; ++ } ++ ++ output |= 0x03F & lowTime; ++ output |= 0xFC0 & (highTime << 6); ++ return output; ++} ++ ++u32 dglnt_dynclk_count_calc(u32 divide) ++{ ++ u32 output = 0; ++ u32 divCalc = 0; ++ ++ divCalc = dglnt_dynclk_divider(divide); ++ if (divCalc == ERR_CLKDIVIDER) ++ output = ERR_CLKCOUNTCALC; ++ else ++ output = (0xFFF & divCalc) | ((divCalc << 10) & 0x00C00000); ++ return output; ++} ++ ++ ++int dglnt_dynclk_find_reg(struct dglnt_dynclk_reg *regValues, ++ struct dglnt_dynclk_mode *clkParams) ++{ ++ if ((clkParams->fbmult < 2) || clkParams->fbmult > 64) ++ return -EINVAL; ++ ++ regValues->clk0L = dglnt_dynclk_count_calc(clkParams->clkdiv); ++ if (regValues->clk0L == ERR_CLKCOUNTCALC) ++ return -EINVAL; ++ ++ regValues->clkFBL = dglnt_dynclk_count_calc(clkParams->fbmult); ++ if (regValues->clkFBL == ERR_CLKCOUNTCALC) ++ return -EINVAL; ++ ++ regValues->clkFBH_clk0H = 0; ++ ++ regValues->divclk = dglnt_dynclk_divider(clkParams->maindiv); ++ if (regValues->divclk == ERR_CLKDIVIDER) ++ return -EINVAL; ++ ++ regValues->lockL = (u32)(lock_lookup[clkParams->fbmult - 1] & ++ 0xFFFFFFFF); ++ ++ regValues->fltr_lockH = (u32)((lock_lookup[clkParams->fbmult - 1] >> ++ 32) & 0x000000FF); ++ regValues->fltr_lockH |= ((filter_lookup_low[clkParams->fbmult - 1] << ++ 16) & 0x03FF0000); ++ ++ return 0; ++} ++ ++void dglnt_dynclk_write_reg(struct dglnt_dynclk_reg *regValues, ++ void __iomem *baseaddr) ++{ ++ writel(regValues->clk0L, baseaddr + OFST_DISPLAY_CLK_L); ++ writel(regValues->clkFBL, baseaddr + OFST_DISPLAY_FB_L); ++ writel(regValues->clkFBH_clk0H, baseaddr + OFST_DISPLAY_FB_H_CLK_H); ++ writel(regValues->divclk, baseaddr + OFST_DISPLAY_DIV); ++ writel(regValues->lockL, baseaddr + OFST_DISPLAY_LOCK_L); ++ writel(regValues->fltr_lockH, baseaddr + OFST_DISPLAY_FLTR_LOCK_H); ++} ++ ++u32 dglnt_dynclk_find_mode(u32 freq, u32 parentFreq, ++ struct dglnt_dynclk_mode *bestPick) ++{ ++ u32 bestError = MMCM_FREQ_OUTMAX; ++ u32 curError; ++ u32 curClkMult; ++ u32 curFreq; ++ u32 divVal; ++ u32 curFb, curClkDiv; ++ u32 minFb = 0; ++ u32 maxFb = 0; ++ u32 curDiv = 1; ++ u32 maxDiv; ++ bool freq_found = false; ++ ++ bestPick->freq = 0; ++ if (parentFreq == 0) ++ return 0; ++ ++ /* minimum frequency is actually dictated by VCOmin */ ++ if (freq < MMCM_FREQ_OUTMIN) ++ freq = MMCM_FREQ_OUTMIN; ++ if (freq > MMCM_FREQ_OUTMAX) ++ freq = MMCM_FREQ_OUTMAX; ++ ++ if (parentFreq > MMCM_FREQ_PFDMAX) ++ curDiv = 2; ++ maxDiv = parentFreq / MMCM_FREQ_PFDMIN; ++ if (maxDiv > MMCM_DIV_MAX) ++ maxDiv = MMCM_DIV_MAX; ++ ++ while (curDiv <= maxDiv && !freq_found) { ++ minFb = curDiv * DIV_ROUND_UP(MMCM_FREQ_VCOMIN, parentFreq); ++ maxFb = curDiv * (MMCM_FREQ_VCOMAX / parentFreq); ++ if (maxFb > MMCM_FB_MAX) ++ maxFb = MMCM_FB_MAX; ++ if (minFb < MMCM_FB_MIN) ++ minFb = MMCM_FB_MIN; ++ ++ divVal = curDiv * freq; ++ /* ++ * This multiplier is used to find the best clkDiv value for ++ * each FB value ++ */ ++ curClkMult = ((parentFreq * 1000) + (divVal / 2)) / divVal; ++ ++ curFb = minFb; ++ while (curFb <= maxFb && !freq_found) { ++ curClkDiv = ((curClkMult * curFb) + 500) / 1000; ++ if (curClkDiv > MMCM_CLKDIV_MAX) ++ curClkDiv = MMCM_CLKDIV_MAX; ++ if (curClkDiv < MMCM_CLKDIV_MIN) ++ curClkDiv = MMCM_CLKDIV_MIN; ++ curFreq = (((parentFreq * curFb) / curDiv) / curClkDiv); ++ if (curFreq >= freq) ++ curError = curFreq - freq; ++ else ++ curError = freq - curFreq; ++ if (curError < bestError) { ++ bestError = curError; ++ bestPick->clkdiv = curClkDiv; ++ bestPick->fbmult = curFb; ++ bestPick->maindiv = curDiv; ++ bestPick->freq = curFreq; ++ } ++ if (!curError) ++ freq_found = true; ++ curFb++; ++ } ++ curDiv++; ++ } ++ return bestPick->freq; ++} ++ ++static struct dglnt_dynclk *clk_hw_to_dglnt_dynclk(struct clk_hw *clk_hw) ++{ ++ return container_of(clk_hw, struct dglnt_dynclk, clk_hw); ++} ++ ++ ++static int dglnt_dynclk_enable(struct clk_hw *clk_hw) ++{ ++ struct dglnt_dynclk *dglnt_dynclk = clk_hw_to_dglnt_dynclk(clk_hw); ++ unsigned int clock_state; ++ ++ if (dglnt_dynclk->freq) { ++ writel(1, dglnt_dynclk->base + OFST_DISPLAY_CTRL); ++ do { ++ clock_state = readl(dglnt_dynclk->base + ++ OFST_DISPLAY_STATUS); ++ } while (!clock_state); ++ } ++ return 0; ++} ++ ++static void dglnt_dynclk_disable(struct clk_hw *clk_hw) ++{ ++ struct dglnt_dynclk *dglnt_dynclk = clk_hw_to_dglnt_dynclk(clk_hw); ++ ++ writel(0, dglnt_dynclk->base + OFST_DISPLAY_CTRL); ++} ++ ++static int dglnt_dynclk_set_rate(struct clk_hw *clk_hw, ++ unsigned long rate, unsigned long parent_rate) ++{ ++ struct dglnt_dynclk *dglnt_dynclk = clk_hw_to_dglnt_dynclk(clk_hw); ++ struct dglnt_dynclk_reg clkReg; ++ struct dglnt_dynclk_mode clkMode; ++ ++ if (parent_rate == 0 || rate == 0) ++ return -EINVAL; ++ if (rate == dglnt_dynclk->freq) ++ return 0; ++ ++ /* ++ * Convert from Hz to KHz, then multiply by five to account for ++ * BUFR division ++ */ ++ rate = (rate + 100) / 200; ++ /* convert from Hz to KHz */ ++ parent_rate = (parent_rate + 500) / 1000; ++ if (!dglnt_dynclk_find_mode(rate, parent_rate, &clkMode)) ++ return -EINVAL; ++ ++ /* ++ * Write to the PLL dynamic configuration registers to configure it ++ * with the calculated parameters. ++ */ ++ dglnt_dynclk_find_reg(&clkReg, &clkMode); ++ dglnt_dynclk_write_reg(&clkReg, dglnt_dynclk->base); ++ dglnt_dynclk->freq = clkMode.freq * 200; ++ dglnt_dynclk_disable(clk_hw); ++ dglnt_dynclk_enable(clk_hw); ++ ++ return 0; ++} ++ ++static long dglnt_dynclk_round_rate(struct clk_hw *hw, unsigned long rate, ++ unsigned long *parent_rate) ++{ ++ struct dglnt_dynclk_mode clkMode; ++ ++ dglnt_dynclk_find_mode(((rate + 100) / 200), ++ ((*parent_rate) + 500) / 1000, &clkMode); ++ ++ return (clkMode.freq * 200); ++} ++ ++static unsigned long dglnt_dynclk_recalc_rate(struct clk_hw *clk_hw, ++ unsigned long parent_rate) ++{ ++ struct dglnt_dynclk *dglnt_dynclk = clk_hw_to_dglnt_dynclk(clk_hw); ++ ++ return dglnt_dynclk->freq; ++} ++ ++ ++static const struct clk_ops dglnt_dynclk_ops = { ++ .recalc_rate = dglnt_dynclk_recalc_rate, ++ .round_rate = dglnt_dynclk_round_rate, ++ .set_rate = dglnt_dynclk_set_rate, ++ .enable = dglnt_dynclk_enable, ++ .disable = dglnt_dynclk_disable, ++}; ++ ++static const struct of_device_id dglnt_dynclk_ids[] = { ++ { .compatible = "digilent,axi-dynclk", }, ++ { }, ++}; ++MODULE_DEVICE_TABLE(of, dglnt_dynclk_ids); ++ ++static int dglnt_dynclk_probe(struct platform_device *pdev) ++{ ++ const struct of_device_id *id; ++ struct dglnt_dynclk *dglnt_dynclk; ++ struct clk_init_data init; ++ const char *parent_name; ++ const char *clk_name; ++ struct resource *mem; ++ struct clk *clk; ++ ++ if (!pdev->dev.of_node) ++ return -ENODEV; ++ ++ id = of_match_node(dglnt_dynclk_ids, pdev->dev.of_node); ++ if (!id) ++ return -ENODEV; ++ ++ dglnt_dynclk = devm_kzalloc(&pdev->dev, sizeof(*dglnt_dynclk), ++ GFP_KERNEL); ++ if (!dglnt_dynclk) ++ return -ENOMEM; ++ ++ mem = platform_get_resource(pdev, IORESOURCE_MEM, 0); ++ dglnt_dynclk->base = devm_ioremap_resource(&pdev->dev, mem); ++ if (IS_ERR(dglnt_dynclk->base)) ++ return PTR_ERR(dglnt_dynclk->base); ++ ++ parent_name = of_clk_get_parent_name(pdev->dev.of_node, 0); ++ if (!parent_name) ++ return -EINVAL; ++ ++ clk_name = pdev->dev.of_node->name; ++ of_property_read_string(pdev->dev.of_node, "clock-output-names", ++ &clk_name); ++ ++ init.name = clk_name; ++ init.ops = &dglnt_dynclk_ops; ++ init.flags = 0; ++ init.parent_names = &parent_name; ++ init.num_parents = 1; ++ ++ dglnt_dynclk->freq = 0; ++ dglnt_dynclk_disable(&dglnt_dynclk->clk_hw); ++ ++ dglnt_dynclk->clk_hw.init = &init; ++ clk = devm_clk_register(&pdev->dev, &dglnt_dynclk->clk_hw); ++ if (IS_ERR(clk)) ++ return PTR_ERR(clk); ++ ++ return of_clk_add_provider(pdev->dev.of_node, of_clk_src_simple_get, ++ clk); ++} ++ ++static int dglnt_dynclk_remove(struct platform_device *pdev) ++{ ++ of_clk_del_provider(pdev->dev.of_node); ++ ++ return 0; ++} ++ ++static struct platform_driver dglnt_dynclk_driver = { ++ .driver = { ++ .name = "dglnt-dynclk", ++ .owner = THIS_MODULE, ++ .of_match_table = dglnt_dynclk_ids, ++ }, ++ .probe = dglnt_dynclk_probe, ++ .remove = dglnt_dynclk_remove, ++}; ++module_platform_driver(dglnt_dynclk_driver); ++ ++MODULE_LICENSE("GPL v2"); ++MODULE_AUTHOR("Sam Bobrowicz "); ++MODULE_DESCRIPTION("CCF Driver for Digilent axi_dynclk IP Core"); +-- +2.14.2 + diff --git a/meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx/v2023.2/0003-drm-xilinx-Fix-DPMS-transition-to-on.patch b/meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx/v2023.2/0003-drm-xilinx-Fix-DPMS-transition-to-on.patch new file mode 100644 index 00000000..a98d84c5 --- /dev/null +++ b/meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx/v2023.2/0003-drm-xilinx-Fix-DPMS-transition-to-on.patch @@ -0,0 +1,54 @@ +From 1a18e2b514ae9e75145597ac509a87f656c976ba Mon Sep 17 00:00:00 2001 +From: Nathan Rossi +Date: Mon, 2 May 2016 23:46:42 +1000 +Subject: [PATCH 3/3] drm: xilinx: Fix DPMS transition to on + +Fix the issues where the VTC is reset (losing its timing config). + +Also fix the issue where the plane destroys its DMA descriptors and +marks the DMA channels as inactive but never recreates the descriptors +and never updates the active state when turning DPMS back on. + +Signed-off-by: Nathan Rossi +Upstream-Status: Pending [This is a workaround] +--- + drivers/gpu/drm/xilinx/xilinx_drm_crtc.c | 1 - + drivers/gpu/drm/xilinx/xilinx_drm_plane.c | 3 ++- + 2 files changed, 2 insertions(+), 2 deletions(-) + +diff --git a/drivers/gpu/drm/xilinx/xilinx_drm_crtc.c b/drivers/gpu/drm/xilinx/xilinx_drm_crtc.c +index 631d35b921..93dbd4b58a 100644 +--- a/drivers/gpu/drm/xilinx/xilinx_drm_crtc.c ++++ b/drivers/gpu/drm/xilinx/xilinx_drm_crtc.c +@@ -88,7 +88,6 @@ static void xilinx_drm_crtc_dpms(struct drm_crtc *base_crtc, int dpms) + default: + if (crtc->vtc) { + xilinx_vtc_disable(crtc->vtc); +- xilinx_vtc_reset(crtc->vtc); + } + if (crtc->cresample) { + xilinx_cresample_disable(crtc->cresample); +diff --git a/drivers/gpu/drm/xilinx/xilinx_drm_plane.c b/drivers/gpu/drm/xilinx/xilinx_drm_plane.c +index 6a248b72d4..d2518a4bdf 100644 +--- a/drivers/gpu/drm/xilinx/xilinx_drm_plane.c ++++ b/drivers/gpu/drm/xilinx/xilinx_drm_plane.c +@@ -140,7 +140,7 @@ void xilinx_drm_plane_commit(struct drm_plane *base_plane) + for (i = 0; i < MAX_NUM_SUB_PLANES; i++) { + struct xilinx_drm_plane_dma *dma = &plane->dma[i]; + +- if (dma->chan && dma->is_active) { ++ if (dma->chan) { + flags = DMA_CTRL_ACK | DMA_PREP_INTERRUPT; + desc = dmaengine_prep_interleaved_dma(dma->chan, + &dma->xt, +@@ -153,6 +153,7 @@ void xilinx_drm_plane_commit(struct drm_plane *base_plane) + dmaengine_submit(desc); + + dma_async_issue_pending(dma->chan); ++ dma->is_active = true; + } + } + } +-- +2.14.2 + diff --git a/meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx/v2023.2/0004-minized-wifi-bluetooth.cfg b/meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx/v2023.2/0004-minized-wifi-bluetooth.cfg new file mode 100644 index 00000000..f71e53ab --- /dev/null +++ b/meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx/v2023.2/0004-minized-wifi-bluetooth.cfg @@ -0,0 +1,33 @@ +# +# Bluetooth config +# +CONFIG_BT=y +CONFIG_BT_BREDR=y +CONFIG_BT_HS=y +CONFIG_BT_LE=y +CONFIG_BT_BCM=y +CONFIG_BT_HCIUART=y +CONFIG_BT_HCIUART_H4=y +CONFIG_BT_HCIUART_BCM=y +CONFIG_BT_HIDP=y +CONFIG_CFG80211=y +CONFIG_CFG80211_DEFAULT_PS=y +CONFIG_CFG80211_CRDA_SUPPORT=y +CONFIG_BRCMUTIL=y +CONFIG_BRCMFMAC=y +CONFIG_BRCMFMAC_PROTO_BCDC=y +CONFIG_BRCMFMAC_SDIO=y +CONFIG_CRYPTO_BLKCIPHER=y +CONFIG_CRYPTO_MANAGER=y +CONFIG_CRYPTO_ECB=y +CONFIG_CRYPTO_CMAC=y +CONFIG_CRYPTO_SHA256=y + +# +# Regulator config +# +CONFIG_REGMAP_IRQ=y +CONFIG_I2C_XILINX=y +CONFIG_MFD_DA9062=y +CONFIG_REGULATOR_DA9062=y + diff --git a/meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx_2023.2.bbappend b/meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx_2023.2.bbappend new file mode 100644 index 00000000..c789c7a9 --- /dev/null +++ b/meta-xilinx-contrib/recipes-kernel/linux/linux-xlnx_2023.2.bbappend @@ -0,0 +1,12 @@ +FILESEXTRAPATHS:prepend := "${THISDIR}/linux-xlnx/v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}:" + +# Note: These patches are very old and doesn't apply on top of 5.x +# kernel. For more details refer README.md file. + +#SRC_URI:append:zybo-linux-bd-zynq7 = " \ +# file://0001-drm-xilinx-Add-encoder-for-Digilent-boards.patch \ +# file://0002-clk-Add-driver-for-axi_dynclk-IP-Core.patch \ +# file://0003-drm-xilinx-Fix-DPMS-transition-to-on.patch \ +# " + +SRC_URI:append:minized-zynq7 = " file://0004-minized-wifi-bluetooth.cfg" diff --git a/meta-xilinx-core/conf/layer.conf b/meta-xilinx-core/conf/layer.conf index 57a76049..19a5e1a1 100644 --- a/meta-xilinx-core/conf/layer.conf +++ b/meta-xilinx-core/conf/layer.conf @@ -41,33 +41,29 @@ SIGGEN_EXCLUDE_SAFE_RECIPE_DEPS += " \ *->xserver-xorg \ " -XILINX_RELEASE_VERSION ??= "v2023.1" +XILINX_RELEASE_VERSION ??= "v2023.2" BUILDCFG_VARS:append = " SOC_VARIANT XILINX_RELEASE_VERSION" -XILINX_QEMU_VERSION[v2022.1] = "v6.1.0-xilinx-v2022.1%" -XILINX_QEMU_VERSION[v2022.2] = "v6.1.0-xilinx-v2022.2%" XILINX_QEMU_VERSION[v2023.1] = "v7.1.0-xilinx-v2023.1%" +XILINX_QEMU_VERSION[v2023.2] = "v7.1.0-xilinx-v2023.2%" PREFERRED_VERSION_qemu-xilinx ?= "${@d.getVarFlag('XILINX_QEMU_VERSION', d.getVar('XILINX_RELEASE_VERSION')) or 'undefined'}" PREFERRED_VERSION_qemu-xilinx-native ?= "${@d.getVarFlag('XILINX_QEMU_VERSION', d.getVar('XILINX_RELEASE_VERSION')) or 'undefined'}" PREFERRED_VERSION_qemu-xilinx-system-native ?= "${@d.getVarFlag('XILINX_QEMU_VERSION', d.getVar('XILINX_RELEASE_VERSION')) or 'undefined'}" PREFERRED_VERSION_qemu-devicetrees ?= "xilinx-${XILINX_RELEASE_VERSION}%" -XILINX_ATF_VERSION[v2022.1] = "2.6-xilinx-v2022.1%" -XILINX_ATF_VERSION[v2022.2] = "2.6-xilinx-v2022.2%" XILINX_ATF_VERSION[v2023.1] = "2.8-xilinx-v2023.1%" +XILINX_ATF_VERSION[v2023.2] = "2.8-xilinx-v2023.2%" PREFERRED_VERSION_arm-trusted-firmware ?= "${@d.getVarFlag('XILINX_ATF_VERSION', d.getVar('XILINX_RELEASE_VERSION')) or 'undefined'}" -XILINX_UBOOT_VERSION[v2022.1] = "v2021.01-xilinx-v2022.1%" -XILINX_UBOOT_VERSION[v2022.2] = "v2021.01-xilinx-v2022.2%" XILINX_UBOOT_VERSION[v2023.1] = "v2023.01-xilinx-v2023.1%" +XILINX_UBOOT_VERSION[v2023.2] = "v2023.01-xilinx-v2023.2%" PREFERRED_VERSION_u-boot-xlnx ?= "${@d.getVarFlag('XILINX_UBOOT_VERSION', d.getVar('XILINX_RELEASE_VERSION')) or 'undefined'}" PREFERRED_VERSION_u-boot-tools-xlnx ?= "${@d.getVarFlag('XILINX_UBOOT_VERSION', d.getVar('XILINX_RELEASE_VERSION')) or 'undefined'}" -XILINX_LINUX_VERSION[v2022.1] = "5.15.19-xilinx-v2022.1%" -XILINX_LINUX_VERSION[v2022.2] = "5.15.36-xilinx-v2022.2%" XILINX_LINUX_VERSION[v2023.1] = "6.1.5-xilinx-v2023.1%" +XILINX_LINUX_VERSION[v2023.2] = "6.1.5-xilinx-v2023.2%" PREFERRED_VERSION_linux-xlnx ?= "${@d.getVarFlag('XILINX_LINUX_VERSION', d.getVar('XILINX_RELEASE_VERSION')) or 'undefined'}" # Add support to eSDK for gen-machine-conf if it exists diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.2.bb b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.2.bb new file mode 100644 index 00000000..057c1ef8 --- /dev/null +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.2.bb @@ -0,0 +1,15 @@ +SRCBRANCH ?= "2023.2" +SRCREV = "be635252271de342014a146825870b64bd41d6eb" +BRANCH = "2023" +LIC_FILES_CHKSUM ?= "file://LICENSE.md;md5=f4d5df0f12dcea1b1a0124219c0dbab4" +PV = "${SRCBRANCH}+git${SRCPV}" + +REPO = "git://github.com/Xilinx/libmetal.git;protocol=https" + +include ${LAYER_PATH_openamp-layer}/recipes-openamp/libmetal/libmetal.inc + +RPROVIDES:${PN}-dbg += "libmetal-dbg" +RPROVIDES:${PN}-dev += "libmetal-dev" +RPROVIDES:${PN}-lic += "libmetal-lic" +RPROVIDES:${PN}-src += "libmetal-src" +RPROVIDES:${PN}-staticdev += "libmetal-staticdev" diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb new file mode 100644 index 00000000..d655d9ac --- /dev/null +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb @@ -0,0 +1,16 @@ +SRCBRANCH ?= "2023.2" +SRCREV = "c8aaf2f26d5493f492f0af09dd558d45908636da" +BRANCH = "2023" +LIC_FILES_CHKSUM ?= "file://LICENSE.md;md5=ab88daf995c0bd0071c2e1e55f3d3505" +PV = "${SRCBRANCH}+git${SRCPV}" +REPO = "git://github.com/Xilinx/open-amp.git;protocol=https" + +include ${LAYER_PATH_openamp-layer}/recipes-openamp/open-amp/open-amp.inc +require ${LAYER_PATH_openamp-layer}/vendor/xilinx/recipes-openamp/open-amp/open-amp-xlnx.inc + +RPROVIDES:${PN}-dbg += "open-amp-dbg" +RPROVIDES:${PN}-dev += "open-amp-dev" +RPROVIDES:${PN}-lic += "open-amp-lic" +RPROVIDES:${PN}-src += "open-amp-src" +RPROVIDES:${PN}-staticdev += "open-amp-staticdev" + diff --git a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb new file mode 100644 index 00000000..d9d8db7a --- /dev/null +++ b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb @@ -0,0 +1,8 @@ +ATF_VERSION = "2.8" +SRCREV = "9c3b04f7b996b21428ff4c64da01d12a4526a1b8" +BRANCH = "xlnx_rebase_v2.8" +LIC_FILES_CHKSUM = "file://license.rst;md5=1dd070c98a281d18d9eefd938729b031" + + +include arm-trusted-firmware.inc + diff --git a/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb b/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb new file mode 100644 index 00000000..a74670b7 --- /dev/null +++ b/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb @@ -0,0 +1,72 @@ +SUMMARY = "Xilinx dfx-mgr libraries" +DESCRIPTION = "Xilinx Runtime User Space Libraries and Binaries" + +LICENSE = "MIT" +LIC_FILES_CHKSUM = "file://LICENSE;md5=d67bcef754e935bf77b6d7051bd62b5e" + +REPO ?= "git://github.com/Xilinx/dfx-mgr.git;protocol=https" +BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" +SRC_URI = "${REPO};${BRANCHARG}" + +BRANCH = "master" +SRCREV = "5918fb3406d828693cca484b77229ffd031b5dc4" +SOMAJOR = "1" +SOMINOR = "0" +SOVERSION = "${SOMAJOR}.${SOMINOR}" + +COMPATIBLE_MACHINE = "^$" +COMPATIBLE_MACHINE:zynqmp = "zynqmp" +COMPATIBLE_MACHINE:versal = "versal" + +S = "${WORKDIR}/git" + +inherit cmake update-rc.d systemd + +DEPENDS += " libwebsockets inotify-tools libdfx zocl libdrm" +RDEPENDS:${PN} += " freeipmi" +EXTRA_OECMAKE += " \ + -DCMAKE_SYSROOT:PATH=${RECIPE_SYSROOT} \ + " + +INITSCRIPT_NAME = "dfx-mgr.sh" +INITSCRIPT_PARAMS = "start 99 S ." + +SYSTEMD_PACKAGES="${PN}" +SYSTEMD_SERVICE:${PN}="dfx-mgr.service" +SYSTEMD_AUTO_ENABLE:${PN}="enable" + + +do_install(){ + install -d ${D}${bindir} + install -d ${D}${libdir} + install -d ${D}${includedir} + install -d ${D}${base_libdir}/firmware/xilinx + install -d ${D}${sysconfdir}/dfx-mgrd + + cp ${B}/example/sys/linux/dfx-mgrd-static ${D}${bindir}/dfx-mgrd + cp ${B}/example/sys/linux/dfx-mgr-client-static ${D}${bindir}/dfx-mgr-client + chrpath -d ${D}${bindir}/dfx-mgrd + chrpath -d ${D}${bindir}/dfx-mgr-client + install -m 0644 ${S}/src/dfxmgr_client.h ${D}${includedir} + + oe_soinstall ${B}/src/libdfx-mgr.so.${SOVERSION} ${D}${libdir} + + install -m 0755 ${S}/src/daemon.conf ${D}${sysconfdir}/dfx-mgrd/ + + if ${@bb.utils.contains('DISTRO_FEATURES', 'sysvinit', 'true', 'false', d)}; then + install -d ${D}${sysconfdir}/init.d/ + install -m 0755 ${S}/src/dfx-mgr.sh ${D}${sysconfdir}/init.d/ + fi + + install -m 0755 ${S}/src/dfx-mgr.sh ${D}${bindir} + install -m 0755 ${S}/src/scripts/xlnx-firmware-detect ${D}${bindir} + + install -d ${D}${systemd_system_unitdir} + install -m 0644 ${S}/src/dfx-mgr.service ${D}${systemd_system_unitdir} +} + +PACKAGES =+ "libdfx-mgr" + +FILES:${PN} += "${base_libdir}/firmware/xilinx" +FILES:${PN} += "${@bb.utils.contains('DISTRO_FEATURES','sysvinit','${sysconfdir}/init.d/dfx-mgr.sh', '', d)} ${systemd_system_unitdir}" +FILES:libdfx-mgr = "${libdir}/libdfx-mgr.so.${SOVERSION} ${libdir}/libdfx-mgr.so.${SOMAJOR}" diff --git a/meta-xilinx-core/recipes-bsp/libdfx/libdfx_2023.2.bb b/meta-xilinx-core/recipes-bsp/libdfx/libdfx_2023.2.bb new file mode 100644 index 00000000..11ad0268 --- /dev/null +++ b/meta-xilinx-core/recipes-bsp/libdfx/libdfx_2023.2.bb @@ -0,0 +1,23 @@ +SUMMARY = "Xilinx libdfx library" +DESCRIPTION = "Xilinx libdfx Library and headers" + +LICENSE = "MIT & GPL-2.0-or-later" +LIC_FILES_CHKSUM = "file://LICENSE.md;md5=94aba86aec117f003b958a52f019f1a7" + +BRANCH ?= "master" +REPO ?= "git://github.com/Xilinx/libdfx.git;protocol=https" +BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" +SRC_URI = "${REPO};${BRANCHARG}" +SRCREV = "52c1d83c72a2b2e85d256411a199ed1baed12ae1" + +COMPATIBLE_MACHINE = "^$" +COMPATIBLE_MACHINE:zynqmp = "zynqmp" +COMPATIBLE_MACHINE:versal = "versal" + +S = "${WORKDIR}/git" + +inherit cmake + +# Due to an update where the soname/version was defined, we need to use an RREPLACES +# so updates will work properly. +RREPLACES:${PN} = "libdfx" diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-tools-xlnx_2023.2.bb b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-tools-xlnx_2023.2.bb new file mode 100644 index 00000000..43fec635 --- /dev/null +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-tools-xlnx_2023.2.bb @@ -0,0 +1,21 @@ +require u-boot-tools-xlnx.inc +require u-boot-xlnx-2023.2.inc + +# MUST clear CONFIG_VIDEO to avoid a compilation failure trying to construct +# bmp_logo.h +SED_CONFIG_EFI:append = ' -e "s/CONFIG_VIDEO=.*/# CONFIG_VIDEO is not set/"' + +# Default do_compile fails with: +# | error: object directory ../downloads/git2/github.com.Xilinx.u-boot-xlnx.git/objects does not exist; check .git/objects/info/alternates. +# The regular workaround of calling 'git diff' seems to be problematic. +do_compile () { + oe_runmake -C ${S} tools-only_defconfig O=${B} + + # Disable CONFIG_CMD_LICENSE, license.h is not used by tools and + # generating it requires bin2header tool, which for target build + # is built with target tools and thus cannot be executed on host. + sed -i -e "s/CONFIG_CMD_LICENSE=.*/# CONFIG_CMD_LICENSE is not set/" ${SED_CONFIG_EFI} ${B}/.config + + oe_runmake -C ${S} cross_tools NO_SDL=1 O=${B} +} + diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc new file mode 100644 index 00000000..c2c54090 --- /dev/null +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -0,0 +1,17 @@ +UBOOT_VERSION = "v2023.01" + +UBRANCH = "xlnx_rebase_v2023.01" + +SRCREV = "87298fde44b43e0552f0f77d7d3c1aaf4f7c9566" + +LICENSE = "GPL-2.0-or-later" +LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" + +# u-boot-xlnx has support for these +HAS_PLATFORM_INIT ?= " \ + xilinx_zynqmp_virt_config \ + xilinx_zynq_virt_defconfig \ + xilinx_versal_vc_p_a2197_revA_x_prc_01_revA \ + " + +DEPENDS += "bc-native dtc-native python3-setuptools-native gnutls-native" diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx_2023.2.bb b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx_2023.2.bb new file mode 100644 index 00000000..22075424 --- /dev/null +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx_2023.2.bb @@ -0,0 +1,4 @@ + +require u-boot-xlnx.inc +require u-boot-spl-zynq-init.inc +require u-boot-xlnx-2023.2.inc diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb new file mode 100644 index 00000000..9a5022aa --- /dev/null +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb @@ -0,0 +1,6 @@ + +require qemu-devicetrees.inc + +BRANCH ?= "master" +SRCREV ?= "1c45adcde1fc06432c01be250bf668c6477d8459" + diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc new file mode 100644 index 00000000..3309ce11 --- /dev/null +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc @@ -0,0 +1,3 @@ +XILINX_QEMU_VERSION = "v7.1.0" +BRANCH = "master" +SRCREV = "21adc9f99e813fb24fb65421259b5b0614938376" diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-native_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-native_2023.2.bb new file mode 100644 index 00000000..ccfa9956 --- /dev/null +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-native_2023.2.bb @@ -0,0 +1,9 @@ +require qemu-xilinx-2023.2.inc +require qemu-xilinx-native-7.1.inc +require qemu-native-alt.inc + +BPN = "qemu-xilinx" + +EXTRA_OECONF:append = " --target-list=${@get_qemu_usermode_target_list(d)} --disable-tools --disable-blobs --disable-guest-agent" + +PACKAGECONFIG ??= "pie" diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_2023.2.bb new file mode 100644 index 00000000..21208f72 --- /dev/null +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_2023.2.bb @@ -0,0 +1,26 @@ +require qemu-system-native-alt.inc +require qemu-xilinx-2023.2.inc +require qemu-xilinx-native-7.1.inc + +PROVIDES = "qemu-system-native" + +EXTRA_OECONF:append = " --target-list=${@get_qemu_system_target_list(d)}" + +PACKAGECONFIG ??= "fdt alsa kvm gcrypt pie slirp" + +PACKAGECONFIG:remove = "${@'kvm' if not os.path.exists('/usr/include/linux/kvm.h') else ''}" + +DEPENDS += "pixman-native qemu-xilinx-native bison-native ninja-native meson-native" +DEPENDS += "qemu-xilinx-multiarch-helper-native" + +do_install:append() { + # The following is also installed by qemu-native + rm -f ${D}${datadir}/qemu/trace-events-all + rm -rf ${D}${datadir}/qemu/keymaps + rm -rf ${D}${datadir}/icons + rm -rf ${D}${includedir}/qemu-plugin.h + + # Install qmp.py to be used with testimage + install -d ${D}${libdir}/qemu-python/qmp/ + install -D ${S}/python/qemu/qmp/* ${D}${libdir}/qemu-python/qmp/ +} diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2023.2.bb new file mode 100644 index 00000000..1947de0f --- /dev/null +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2023.2.bb @@ -0,0 +1,24 @@ +require qemu-xilinx-2023.2.inc +require recipes-devtools/qemu/qemu.inc +require qemu-xilinx-7.1.inc +require qemu-alt.inc + +# Links to libmali-xlnx, so it becomes MACHINE_ARCH specific +DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" +MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" +PACKAGE_ARCH:class-target = "${@bb.utils.contains_any('DEPENDS', 'libepoxy virglrenderer', '${MALI_PACKAGE_ARCH}', '${DEFAULT_PACKAGE_ARCH}', d)}" + +BBCLASSEXTEND = "nativesdk" + +RDEPENDS:${PN}:class-target += "bash" + +PROVIDES:class-nativesdk = "nativesdk-qemu" +RPROVIDES:${PN}:class-nativesdk = "nativesdk-qemu" + +EXTRA_OECONF:append:class-target = " --target-list=${@get_qemu_target_list(d)}" +EXTRA_OECONF:append:class-nativesdk = " --target-list=${@get_qemu_target_list(d)}" +EXTRA_OECONF:append:class-target:mipsarcho32 = "${@bb.utils.contains('BBEXTENDCURR', 'multilib', ' --disable-capstone', '', d)}" + +do_install:append:class-nativesdk() { + ${@bb.utils.contains('PACKAGECONFIG', 'gtk+', 'make_qemu_wrapper', '', d)} +} diff --git a/meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_2023.2.bb b/meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_2023.2.bb new file mode 100644 index 00000000..7849cc93 --- /dev/null +++ b/meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_2023.2.bb @@ -0,0 +1,24 @@ +SUMMARY = "Xilinx DisplayPort Linux Kernel module" +DESCRIPTION = "Out-of-tree DisplayPort(DP) kernel modules provider for aarch64 devices" +SECTION = "kernel/modules" +LICENSE = "GPL-2.0-only" +LIC_FILES_CHKSUM = "file://LICENSE.md;md5=eb723b61539feef013de476e68b5c50a" + +XLNX_DP_VERSION = "6.1.0" +PV = "${XLNX_DP_VERSION}+xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}" + +S = "${WORKDIR}/git" + +BRANCH ?= "master" +REPO ?= "git://github.com/xilinx/dp-modules.git;protocol=https" +SRCREV ?= "5b0969ac09f301c33bccc140c8f60e832f5cf222" + +BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" +SRC_URI = "${REPO};${BRANCHARG}" + +inherit module + +EXTRA_OEMAKE += "O=${STAGING_KERNEL_BUILDDIR}" +COMPATIBLE_MACHINE = "^$" +COMPATIBLE_MACHINE:zynqmp = "zynqmp" +COMPATIBLE_MACHINE:versal = "versal" diff --git a/meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.2.bb b/meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.2.bb new file mode 100644 index 00000000..6e00b6fd --- /dev/null +++ b/meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.2.bb @@ -0,0 +1,24 @@ +SUMMARY = "Xilinx HDMI Linux Kernel module" +DESCRIPTION = "Out-of-tree HDMI kernel modules provider for MPSoC EG/EV devices" +SECTION = "kernel/modules" +LICENSE = "GPL-2.0-only" +LIC_FILES_CHKSUM = "file://LICENSE.md;md5=b34277fe156508fd5a650609dc36d1fe" + +XLNX_HDMI_VERSION = "6.1" +PV = "${XLNX_HDMI_VERSION}+xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}" + +S = "${WORKDIR}/git" + +BRANCH ?= "master" +REPO ?= "git://github.com/Xilinx/hdmi-modules.git;protocol=https" +SRCREV = "1c6330f02fea68992e22400fdbc8c0d0e63e2958" + +BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" +SRC_URI = "${REPO};${BRANCHARG}" + +inherit module + +EXTRA_OEMAKE += "O=${STAGING_KERNEL_BUILDDIR}" +COMPATIBLE_MACHINE = "^$" +COMPATIBLE_MACHINE:zynqmp = "zynqmp" +COMPATIBLE_MACHINE:versal = "versal" diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb new file mode 100644 index 00000000..717696cf --- /dev/null +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -0,0 +1,11 @@ +LINUX_VERSION = "6.1.5" +YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" +KBRANCH="xlnx_rebase_v6.1_LTS" +SRCREV = "943565167d57362181d853ade7ae551488036754" +SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" + +KCONF_AUDIT_LEVEL="0" + +include linux-xlnx.inc + +FILESEXTRAPATHS:prepend := "${THISDIR}/${PN}:" diff --git a/meta-xilinx-standalone-experimental/README.md b/meta-xilinx-standalone-experimental/README.md index 5e246d5c..27528b14 100644 --- a/meta-xilinx-standalone-experimental/README.md +++ b/meta-xilinx-standalone-experimental/README.md @@ -34,7 +34,7 @@ $ bitbake meta-xilinx-setup ``` 3. Install the setup SDK: ``` -$ .${TMPDIR}/tmp/deploy/sdk/x86_64-xilinx-nativesdk-prestep-2023.1....sh -d prestep -y +$ .${TMPDIR}/tmp/deploy/sdk/x86_64-xilinx-nativesdk-prestep-2023.2....sh -d prestep -y ``` Then follow the instructions in the 'prestep/README-setup' file. diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 01940e12..afeecdbf 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -4,9 +4,11 @@ ESW_VER ?= "${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or 'master' REPO ??= "git://github.com/Xilinx/embeddedsw.git;protocol=https" ESW_BRANCH[2023.1] = "xlnx_rel_v2023.1-next" +ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "5d75b745952f39bc4f37189257f2f228d99089f3" +ESW_REV[2023.2] = "675797f7a0a048cab241bf1f8004ab16d7ca9213" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" @@ -14,6 +16,7 @@ EMBEDDEDSW_SRCURI ?= "${REPO};${EMBEDDEDSW_BRANCHARG}" LICENSE = "MIT" LIC_FILES_CHKSUM[xlnx_rel_v2023.1-next] = '15386ea7656d3b83815bce88c0bbe66d' +LIC_FILES_CHKSUM[master-next] = '15386ea7656d3b83815bce88c0bbe66d' LIC_FILES_CHKSUM ??= "file://license.txt;md5=${@d.getVarFlag('LIC_FILES_CHKSUM', d.getVar('BRANCH')) or '0'}" SRC_URI = "${EMBEDDEDSW_SRCURI}" diff --git a/meta-xilinx-standalone/recipes-bsp/embeddedsw/fsbl-firmware_2023.2.bb b/meta-xilinx-standalone/recipes-bsp/embeddedsw/fsbl-firmware_2023.2.bb new file mode 100644 index 00000000..8816dc4d --- /dev/null +++ b/meta-xilinx-standalone/recipes-bsp/embeddedsw/fsbl-firmware_2023.2.bb @@ -0,0 +1,11 @@ +require fsbl-firmware.inc + +FILESPATH .= ":${FILE_DIRNAME}/embeddedsw/2023.1:${FILE_DIRNAME}/embeddedsw" + +SRC_URI += " \ + file://makefile-skip-copy_bsp.sh.patch \ + file://fsbl-fixups.patch \ + " + +# This version does not build for zynq +COMPATIBLE_MACHINE:zynq = "none" diff --git a/meta-xilinx-standalone/recipes-bsp/embeddedsw/plm-firmware_2023.2.bb b/meta-xilinx-standalone/recipes-bsp/embeddedsw/plm-firmware_2023.2.bb new file mode 100644 index 00000000..37861b75 --- /dev/null +++ b/meta-xilinx-standalone/recipes-bsp/embeddedsw/plm-firmware_2023.2.bb @@ -0,0 +1,29 @@ +require plm-firmware.inc + +# Separate build directories for versal and versal-net +SOC_DIR = "versal" +SOC_DIR:versal-net = "versal_net" +B = "${S}/lib/sw_apps/versal_plm/src/${SOC_DIR}" + +BSP_DIR ?= "${B}/../../misc/versal_plm_bsp" + +FILESPATH .= ":${FILE_DIRNAME}/embeddedsw/2023.1:${FILE_DIRNAME}/embeddedsw" + +SRC_URI += " \ + file://makefile-skip-copy_bsp.sh.patch \ + file://0001-versal_fw-Fixup-core-makefiles.patch \ + " + +EXTRA_COMPILER_FLAGS = "-g -ffunction-sections -fdata-sections -Wall -Wextra -Os -flto -ffat-lto-objects" + +do_configure() { + # manually do the copy_bsp step first, so as to be able to fix up use of + # mb-* commands + ${B}/../../misc/${SOC_DIR}/copy_bsp.sh +} + +do_compile() { + oe_runmake + + ${MB_OBJCOPY} -O binary ${B}/${ESW_COMPONENT} ${B}/${ESW_COMPONENT}.bin +} diff --git a/meta-xilinx-standalone/recipes-bsp/embeddedsw/pmu-firmware_2023.2.bb b/meta-xilinx-standalone/recipes-bsp/embeddedsw/pmu-firmware_2023.2.bb new file mode 100644 index 00000000..fe6deddc --- /dev/null +++ b/meta-xilinx-standalone/recipes-bsp/embeddedsw/pmu-firmware_2023.2.bb @@ -0,0 +1,16 @@ +require pmu-firmware.inc + +FILESPATH .= ":${FILE_DIRNAME}/embeddedsw/2023.1:${FILE_DIRNAME}/embeddedsw" + +SRC_URI += " \ + file://makefile-skip-copy_bsp.sh.patch \ + file://0001-zynqmp_pmufw-Fixup-core-makefiles.patch \ + " + +EXTRA_COMPILER_FLAGS = "-ffunction-sections -fdata-sections -Wall -Wextra -Os -flto -ffat-lto-objects" + +do_compile() { + oe_runmake + + ${MB_OBJCOPY} -O binary ${B}/${ESW_COMPONENT} ${B}/${ESW_COMPONENT}.bin +} diff --git a/meta-xilinx-standalone/recipes-bsp/embeddedsw/psm-firmware_2023.2.bb b/meta-xilinx-standalone/recipes-bsp/embeddedsw/psm-firmware_2023.2.bb new file mode 100644 index 00000000..569c5a46 --- /dev/null +++ b/meta-xilinx-standalone/recipes-bsp/embeddedsw/psm-firmware_2023.2.bb @@ -0,0 +1,34 @@ +require psm-firmware.inc + +# Separate build directories for versal and versal-net +SOC_DIR = "versal" +SOC_DIR:versal-net = "versal_net" +B = "${S}/lib/sw_apps/versal_psmfw/src/${SOC_DIR}" + +BSP_DIR ?= "${B}/../../misc/versal_psmfw_bsp" + +FILESPATH .= ":${FILE_DIRNAME}/embeddedsw/2023.1:${FILE_DIRNAME}/embeddedsw" + +SRC_URI += " \ + file://makefile-skip-copy_bsp.sh.patch \ + file://0001-versal_fw-Fixup-core-makefiles.patch \ + " + +EXTRA_COMPILER_FLAGS = "-g -ffunction-sections -fdata-sections -Wall -Wextra" + +# Override default since we're in a subdirectory deeper now... +do_configure() { + # manually do the copy_bsp step first, so as to be able to fix up use of + # mb-* commands + if [ ${SOC_DIR} != "versal" ]; then + ${B}/../../misc/${SOC_DIR}/copy_bsp.sh + else + ${B}/../../misc/copy_bsp.sh + fi +} + +do_compile() { + oe_runmake + + ${MB_OBJCOPY} -O binary ${B}/${ESW_COMPONENT} ${B}/${ESW_COMPONENT}.bin +} -- cgit v1.2.3-54-g00ecf From a9ed5dd4e020b5924b4633c808488fb08f546d58 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Fri, 3 Mar 2023 13:43:31 -0600 Subject: Branch to 2023.1 Signed-off-by: Mark Hatle (cherry picked from commit 39bcac39bea4fd22c23ffab003227c34759d7a53) Update only versioned components to 2023.1 branches. Signed-off-by: Mark Hatle --- .../openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.1.bb | 2 +- .../openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.1.bb | 2 +- meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.1.bb | 2 +- meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.1.bb | 2 +- meta-xilinx-core/recipes-bsp/libdfx/libdfx_2023.1.bb | 2 +- meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.1.bb | 2 +- meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.1.inc | 2 +- meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_2023.1.bb | 2 +- meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.1.bb | 2 +- 9 files changed, 9 insertions(+), 9 deletions(-) diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.1.bb b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.1.bb index 21f18ce2..30ca3501 100644 --- a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.1.bb +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.1.bb @@ -1,6 +1,6 @@ SRCBRANCH ?= "2023.1" SRCREV = "be635252271de342014a146825870b64bd41d6eb" -BRANCH = "2023" +BRANCH = "xlnx_rel_v2023.1" LIC_FILES_CHKSUM ?= "file://LICENSE.md;md5=f4d5df0f12dcea1b1a0124219c0dbab4" PV = "${SRCBRANCH}+git${SRCPV}" diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.1.bb b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.1.bb index fad7d2af..e295b869 100644 --- a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.1.bb +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.1.bb @@ -1,6 +1,6 @@ SRCBRANCH ?= "2023.1" SRCREV = "c8aaf2f26d5493f492f0af09dd558d45908636da" -BRANCH = "2023" +BRANCH = "xlnx_rel_v2023.1" LIC_FILES_CHKSUM ?= "file://LICENSE.md;md5=ab88daf995c0bd0071c2e1e55f3d3505" PV = "${SRCBRANCH}+git${SRCPV}" REPO = "git://github.com/Xilinx/open-amp.git;protocol=https" diff --git a/meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.1.bb b/meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.1.bb index dc8527f2..02bdf674 100644 --- a/meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.1.bb +++ b/meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.1.bb @@ -10,7 +10,7 @@ DEPENDS += "openssl" RDEPENDS:${PN} += "openssl" REPO ?= "git://github.com/Xilinx/bootgen.git;protocol=https" -BRANCH = "master" +BRANCH = "xlnx_rel_v2023.1" SRCREV = "76dd7271753eb55750db959d8e54c44f93c6d27c" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" diff --git a/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.1.bb b/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.1.bb index a74670b7..a4149d39 100644 --- a/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.1.bb +++ b/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.1.bb @@ -8,7 +8,7 @@ REPO ?= "git://github.com/Xilinx/dfx-mgr.git;protocol=https" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" -BRANCH = "master" +BRANCH = "xlnx_rel_v2023.1" SRCREV = "5918fb3406d828693cca484b77229ffd031b5dc4" SOMAJOR = "1" SOMINOR = "0" diff --git a/meta-xilinx-core/recipes-bsp/libdfx/libdfx_2023.1.bb b/meta-xilinx-core/recipes-bsp/libdfx/libdfx_2023.1.bb index 11ad0268..287246c2 100644 --- a/meta-xilinx-core/recipes-bsp/libdfx/libdfx_2023.1.bb +++ b/meta-xilinx-core/recipes-bsp/libdfx/libdfx_2023.1.bb @@ -4,7 +4,7 @@ DESCRIPTION = "Xilinx libdfx Library and headers" LICENSE = "MIT & GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://LICENSE.md;md5=94aba86aec117f003b958a52f019f1a7" -BRANCH ?= "master" +BRANCH ?= "xlnx_rel_v2023.1" REPO ?= "git://github.com/Xilinx/libdfx.git;protocol=https" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.1.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.1.bb index 9a5022aa..91e787bd 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.1.bb +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.1.bb @@ -1,6 +1,6 @@ require qemu-devicetrees.inc -BRANCH ?= "master" +BRANCH ?= "xlnx_rel_v2023.1" SRCREV ?= "1c45adcde1fc06432c01be250bf668c6477d8459" diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.1.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.1.inc index 3309ce11..06ac05a1 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.1.inc +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.1.inc @@ -1,3 +1,3 @@ XILINX_QEMU_VERSION = "v7.1.0" -BRANCH = "master" +BRANCH = "xlnx_rel_v2023.1" SRCREV = "21adc9f99e813fb24fb65421259b5b0614938376" diff --git a/meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_2023.1.bb b/meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_2023.1.bb index 7849cc93..28770994 100644 --- a/meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_2023.1.bb +++ b/meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_2023.1.bb @@ -9,7 +9,7 @@ PV = "${XLNX_DP_VERSION}+xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', Fal S = "${WORKDIR}/git" -BRANCH ?= "master" +BRANCH ?= "xlnx_rel_v2023.1" REPO ?= "git://github.com/xilinx/dp-modules.git;protocol=https" SRCREV ?= "5b0969ac09f301c33bccc140c8f60e832f5cf222" diff --git a/meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.1.bb b/meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.1.bb index 6e00b6fd..20acb8b3 100644 --- a/meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.1.bb +++ b/meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.1.bb @@ -9,7 +9,7 @@ PV = "${XLNX_HDMI_VERSION}+xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', F S = "${WORKDIR}/git" -BRANCH ?= "master" +BRANCH ?= "xlnx_rel_v2023.1" REPO ?= "git://github.com/Xilinx/hdmi-modules.git;protocol=https" SRCREV = "1c6330f02fea68992e22400fdbc8c0d0e63e2958" -- cgit v1.2.3-54-g00ecf From 417ef2681cfb4a6fdf24eaa62e937bda1ffe2d19 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Tue, 7 Mar 2023 07:44:22 -0800 Subject: Align with 2023.1 branches Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.1.inc | 2 +- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.1.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.1.inc index c2c54090..ad25cd1d 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.1.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.1.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "87298fde44b43e0552f0f77d7d3c1aaf4f7c9566" +SRCREV = "f32d07b9b511736649314d505f6b1caaf8d4ac75" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index afeecdbf..18f25b86 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -7,7 +7,7 @@ ESW_BRANCH[2023.1] = "xlnx_rel_v2023.1-next" ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" -ESW_REV[2023.1] = "5d75b745952f39bc4f37189257f2f228d99089f3" +ESW_REV[2023.1] = "14809f266c6ec79b517d976f882ba496be3e1998" ESW_REV[2023.2] = "675797f7a0a048cab241bf1f8004ab16d7ca9213" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" -- cgit v1.2.3-54-g00ecf From ecb44667b3365aca1a90664b0d02705b4a651545 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Wed, 8 Mar 2023 09:11:51 -0700 Subject: libvcu-xlnx: Disable buildpaths QA check for zynqmp-ev TMPDIR buildpaths warning are observed in libvcu-xlnx. WARNING: libvcu-xlnx-1.0.0-xilinx-v+gitAUTOINC+83aabb84c2-r0 do_package_qa: QA Issue: File /usr/bin/ctrlsw_encoder in package libvcu-xlnx contains reference to TMPDIR File /usr/bin/ctrlsw_decoder in package libvcu-xlnx contains reference to TMPDIR [buildpaths] Hence disable buildpaths QA check for libvcu-xlnx. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle (cherry picked from commit 51050cf852ce69c3544ee52debd694acd3168521) Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx.bb | 3 +++ 1 file changed, 3 insertions(+) diff --git a/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx.bb b/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx.bb index 770213d0..b2931886 100644 --- a/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx.bb +++ b/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx.bb @@ -39,3 +39,6 @@ do_install() { # explicitly depends upon them. EXCLUDE_FROM_WORLD = "1" + +# Disable buildpaths QA check warnings. +INSANE_SKIP:${PN} += "buildpaths" -- cgit v1.2.3-54-g00ecf From 6eca19d4576391e01571b762c3e18e2f3f16c3f4 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Thu, 9 Mar 2023 08:20:33 -0800 Subject: glibc: libc.so.6 contains a textrel on microblaze Disable the textrel warning, as it is expected. Signed-off-by: Mark Hatle --- meta-microblaze/recipes-core/glibc/glibc_2.36.bbappend | 1 + 1 file changed, 1 insertion(+) create mode 100644 meta-microblaze/recipes-core/glibc/glibc_2.36.bbappend diff --git a/meta-microblaze/recipes-core/glibc/glibc_2.36.bbappend b/meta-microblaze/recipes-core/glibc/glibc_2.36.bbappend new file mode 100644 index 00000000..d42bcca5 --- /dev/null +++ b/meta-microblaze/recipes-core/glibc/glibc_2.36.bbappend @@ -0,0 +1 @@ +INSANE_SKIP:${PN}:append:microblaze = " textrel" -- cgit v1.2.3-54-g00ecf From 57d6ff39e32c46f81cccad97fd8634f3aad88e16 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Thu, 9 Mar 2023 08:39:51 -0800 Subject: binutils: Disable broken alternatives ld.gold and dwp are not supported on Microblaze, disable gprof is not generated on Microblaze, disable this alternative Signed-off-by: Mark Hatle --- meta-microblaze/recipes-devtools/binutils/binutils-microblaze.inc | 2 ++ 1 file changed, 2 insertions(+) diff --git a/meta-microblaze/recipes-devtools/binutils/binutils-microblaze.inc b/meta-microblaze/recipes-devtools/binutils/binutils-microblaze.inc index 2aecd24d..5e85eedc 100644 --- a/meta-microblaze/recipes-devtools/binutils/binutils-microblaze.inc +++ b/meta-microblaze/recipes-devtools/binutils/binutils-microblaze.inc @@ -37,3 +37,5 @@ SRC_URI:append = " \ file://0034-Patch-MicroBlaze-By-default-the-linker-will-generate.patch \ " +LDGOLD_ALTS:microblaze = "" +USE_ALTERNATIVES_FOR:remove:microblaze = "gprof" -- cgit v1.2.3-54-g00ecf From dc96cae4b70252a7d5f0aff5ac3d4f9ab52889b9 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Tue, 14 Mar 2023 14:57:48 -0500 Subject: vcu and vdu: Branch recipes to 2023.2 Signed-off-by: Mark Hatle --- .../vcu/kernel-module-vcu_2023.2.bb | 39 ++++++++++++++++ .../recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb | 51 +++++++++++++++++++++ .../recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb | 44 ++++++++++++++++++ .../recipes-multimedia/vcu/vcu-firmware_2023.2.bb | 38 ++++++++++++++++ .../vdu/kernel-module-vdu_2023.2.bb | 41 +++++++++++++++++ .../recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb | 44 ++++++++++++++++++ .../recipes-multimedia/vdu/libvdu-omxil_2023.2.bb | 53 ++++++++++++++++++++++ .../recipes-multimedia/vdu/vdu-firmware_2023.2.bb | 42 +++++++++++++++++ 8 files changed, 352 insertions(+) create mode 100644 meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb create mode 100644 meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb create mode 100644 meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb create mode 100644 meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb create mode 100755 meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb create mode 100755 meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb create mode 100755 meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb create mode 100755 meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb diff --git a/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb new file mode 100644 index 00000000..77dabe19 --- /dev/null +++ b/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb @@ -0,0 +1,39 @@ +SUMMARY = "Linux kernel module for Video Code Unit" +DESCRIPTION = "Out-of-tree VCU decoder, encoder and common kernel modules provider for MPSoC EV devices" +SECTION = "kernel/modules" +LICENSE = "GPL-2.0-only" +LIC_FILES_CHKSUM = "file://LICENSE.md;md5=eb723b61539feef013de476e68b5c50a" + +XILINX_VCU_VERSION = "1.0.0" +PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}" + +S = "${WORKDIR}/git" + +FILESEXTRAPATHS:prepend := "${THISDIR}/files:" + +BRANCH = "master" +REPO = "git://github.com/Xilinx/vcu-modules.git;protocol=https" +SRCREV = "9295f18e8a1a1bcb1a5287b3c1093f98bf9e922f" + +BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" +SRC_URI = " \ + ${REPO};${BRANCHARG} \ + file://99-vcu-enc-dec.rules \ + " + +inherit module features_check + +REQUIRED_MACHINE_FEATURES = "vcu" + +EXTRA_OEMAKE += "O=${STAGING_KERNEL_BUILDDIR}" + +RDEPENDS:${PN} = "vcu-firmware" + +KERNEL_MODULE_AUTOLOAD += "dmaproxy" + +do_install:append() { + install -d ${D}${sysconfdir}/udev/rules.d + install -m 0644 ${WORKDIR}/99-vcu-enc-dec.rules ${D}${sysconfdir}/udev/rules.d/ +} + +FILES:${PN} = "${sysconfdir}/udev/rules.d/*" diff --git a/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb new file mode 100644 index 00000000..4e2cd86a --- /dev/null +++ b/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb @@ -0,0 +1,51 @@ +SUMMARY = "OpenMAX Integration layer for VCU" +DESCRIPTION = "OMX IL Libraries,test applications and headers for VCU" +LICENSE = "MIT" +LIC_FILES_CHKSUM = "file://LICENSE.md;md5=5375796c5ae4ee85ea1f2c1603e58509" + +XILINX_VCU_VERSION = "1.0.0" +PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}" + +BRANCH ?= "master" +REPO ?= "git://github.com/Xilinx/vcu-omx-il.git;protocol=https" +SRCREV = "59c4131db87b8c2426ea7e304eeb2847f30c4ded" + +BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" +SRC_URI = "${REPO};${BRANCHARG}" + +S = "${WORKDIR}/git" + +inherit features_check + +REQUIRED_MACHINE_FEATURES = "vcu" + +PACKAGE_ARCH = "${MACHINE_ARCH}" + +DEPENDS = "libvcu-xlnx" +RDEPENDS:${PN} = "kernel-module-vcu libvcu-xlnx" + +EXTERNAL_INCLUDE="${STAGING_INCDIR}/vcu-ctrl-sw/include" + +EXTRA_OEMAKE = " \ + CC='${CC}' CXX='${CXX} ${CXXFLAGS}' \ + EXTERNAL_INCLUDE='${EXTERNAL_INCLUDE}' \ + " + +do_install() { + install -d ${D}${libdir} + install -d ${D}${includedir}/vcu-omx-il + + install -m 0644 ${S}/omx_header/*.h ${D}${includedir}/vcu-omx-il + + install -Dm 0755 ${S}/bin/omx_decoder ${D}/${bindir}/omx_decoder + install -Dm 0755 ${S}/bin/omx_encoder ${D}/${bindir}/omx_encoder + + oe_libinstall -C ${S}/bin/ -so libOMX.allegro.core ${D}/${libdir}/ + oe_libinstall -C ${S}/bin/ -so libOMX.allegro.video_decoder ${D}/${libdir}/ + oe_libinstall -C ${S}/bin/ -so libOMX.allegro.video_encoder ${D}/${libdir}/ +} + +# These libraries shouldn't get installed in world builds unless something +# explicitly depends upon them. + +EXCLUDE_FROM_WORLD = "1" diff --git a/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb new file mode 100644 index 00000000..b2931886 --- /dev/null +++ b/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb @@ -0,0 +1,44 @@ +SUMMARY = "Control Software for VCU" +DESCRIPTION = "Control software libraries, test applications and headers provider for VCU" +LICENSE = "MIT" +LIC_FILES_CHKSUM = "file://LICENSE.md;md5=5375796c5ae4ee85ea1f2c1603e58509" + +XILINX_VCU_VERSION = "1.0.0" +PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}" + +BRANCH ?= "master" +REPO ?= "git://github.com/Xilinx/vcu-ctrl-sw.git;protocol=https" +SRCREV = "83aabb84c26667f7d6aee632654c63e504838061" + +BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" +SRC_URI = "${REPO};${BRANCHARG}" + +S = "${WORKDIR}/git" + +inherit features_check + +REQUIRED_MACHINE_FEATURES = "vcu" + +RDEPENDS:${PN} = "kernel-module-vcu" + +EXTRA_OEMAKE = "CC='${CC}' CXX='${CXX} ${CXXFLAGS}'" + +do_install() { + install -d ${D}${libdir} + install -d ${D}${includedir}/vcu-ctrl-sw/include + + install -Dm 0755 ${S}/bin/ctrlsw_encoder ${D}/${bindir}/ctrlsw_encoder + install -Dm 0755 ${S}/bin/ctrlsw_decoder ${D}/${bindir}/ctrlsw_decoder + + oe_runmake install_headers INSTALL_HDR_PATH=${D}${includedir}/vcu-ctrl-sw/include + oe_libinstall -C ${S}/bin/ -so liballegro_decode ${D}/${libdir}/ + oe_libinstall -C ${S}/bin/ -so liballegro_encode ${D}/${libdir}/ +} + +# These libraries shouldn't get installed in world builds unless something +# explicitly depends upon them. + +EXCLUDE_FROM_WORLD = "1" + +# Disable buildpaths QA check warnings. +INSANE_SKIP:${PN} += "buildpaths" diff --git a/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb new file mode 100644 index 00000000..5a4852f8 --- /dev/null +++ b/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb @@ -0,0 +1,38 @@ +SUMMARY = "Firmware for VCU" +DESCRIPTION = "Firmware binaries provider for VCU" +LICENSE = "Proprietary" +LIC_FILES_CHKSUM = "file://LICENSE.md;md5=6da65299754e921b31f03e9b11d77a74" + +XILINX_VCU_VERSION = "1.0.0" +PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}" + +S = "${WORKDIR}/git" + +BRANCH ?= "master" +REPO ?= "git://github.com/Xilinx/vcu-firmware.git;protocol=https" +SRCREV = "c90288595ac9a12ff401de6dfa680b1f9adce5f6" + +BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" +SRC_URI = "${REPO};${BRANCHARG}" + +inherit features_check + +REQUIRED_MACHINE_FEATURES = "vcu" + +do_install() { + install -Dm 0644 ${S}/${XILINX_VCU_VERSION}/lib/firmware/al5d_b.fw ${D}/lib/firmware/al5d_b.fw + install -Dm 0644 ${S}/${XILINX_VCU_VERSION}/lib/firmware/al5d.fw ${D}/lib/firmware/al5d.fw + install -Dm 0644 ${S}/${XILINX_VCU_VERSION}/lib/firmware/al5e_b.fw ${D}/lib/firmware/al5e_b.fw + install -Dm 0644 ${S}/${XILINX_VCU_VERSION}/lib/firmware/al5e.fw ${D}/lib/firmware/al5e.fw +} + +# Inhibit warnings about files being stripped +INHIBIT_PACKAGE_DEBUG_SPLIT = "1" +INHIBIT_PACKAGE_STRIP = "1" +FILES:${PN} = "/lib/firmware/*" + +# These libraries shouldn't get installed in world builds unless something +# explicitly depends upon them. +EXCLUDE_FROM_WORLD = "1" + +INSANE_SKIP:${PN} = "ldflags" diff --git a/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb new file mode 100755 index 00000000..a9aff458 --- /dev/null +++ b/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb @@ -0,0 +1,41 @@ +SUMMARY = "Linux kernel module for Video Decode Unit" +DESCRIPTION = "Out-of-tree VDU decoder common kernel modules" +SECTION = "kernel/modules" +LICENSE = "GPLv2" +LIC_FILES_CHKSUM = "file://LICENSE.md;md5=eb723b61539feef013de476e68b5c50a" + +XILINX_VDU_VERSION = "1.0.0" +PV = "${XILINX_VDU_VERSION}-xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" + +S = "${WORKDIR}/git" +FILESEXTRAPATHS:prepend := "${THISDIR}/files:" + +BRANCH ?= "master" +REPO ?= "git://github.com/Xilinx/vdu-modules.git;protocol=https" +SRCREV ?= "82d06e395c93a1e941b83cccbb6f2e4e6d966f1c" + +BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" +SRC_URI = "${REPO};${BRANCHARG} \ + file://99-vdu-enc-dec.rules \ +" + +inherit module features_check + +REQUIRED_MACHINE_FEATURES = "vdu" + +EXTRA_OEMAKE += "O=${STAGING_KERNEL_BUILDDIR}" + +RDEPENDS:${PN} = "vdu-firmware" + +COMPATIBLE_MACHINE = "^$" +COMPATIBLE_MACHINE:versal-ai-core = "versal-ai-core" +COMPATIBLE_MACHINE:versal-ai-edge = "versal-ai-edge" + +PACKAGE_ARCH = "${SOC_FAMILY_ARCH}" + +do_install:append() { + install -d ${D}${sysconfdir}/udev/rules.d + install -m 0644 ${WORKDIR}/99-vdu-enc-dec.rules ${D}${sysconfdir}/udev/rules.d/ +} + +FILES:${PN} = "${sysconfdir}/udev/rules.d/*" diff --git a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb new file mode 100755 index 00000000..db7dde27 --- /dev/null +++ b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb @@ -0,0 +1,44 @@ +SUMMARY = "Control Software for VDU" +DESCRIPTION = "Control software libraries, test applications and headers provider for VDU" +LICENSE = "MIT" +LIC_FILES_CHKSUM = "file://LICENSE.md;md5=aaf483d309243c4596f6373eb9c8325f" + +XILINX_VDU_VERSION = "1.0.0" +PV = "${XILINX_VDU_VERSION}-xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" + +inherit autotools features_check + +REQUIRED_MACHINE_FEATURES = "vdu" + +BRANCH ?= "master" +REPO ?= "git://github.com/Xilinx/vdu-ctrl-sw.git;protocol=https" +SRCREV ?= "7f87371a010c22fb0019abe92c7590cd3c08d13f" + +BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" +SRC_URI = "${REPO};${BRANCHARG}" + +S = "${WORKDIR}/git" + +COMPATIBLE_MACHINE = "^$" +COMPATIBLE_MACHINE:versal-ai-core = "versal-ai-core" +COMPATIBLE_MACHINE:versal-ai-edge = "versal-ai-edge" + +PACKAGE_ARCH = "${SOC_FAMILY_ARCH}" + +RDEPENDS:${PN} = "kernel-module-vdu" + +do_compile[dirs] = "${S}" +do_install[dirs] = "${S}" + +EXTRA_OEMAKE = "CC='${CC}' CXX='${CXX} ${CXXFLAGS}'" +EXTRA_OEMAKE +=" INSTALL_HDR_PATH=${D}${includedir}/vdu-ctrl-sw/include INSTALL_PATH=${D}${bindir}" + +do_install:append() { + + oe_libinstall -C ${S}/bin/ -so liballegro_decode ${D}/${libdir}/ +} + +# These libraries shouldn't get installed in world builds unless something +# explicitly depends upon them. + +EXCLUDE_FROM_WORLD = "1" diff --git a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb new file mode 100755 index 00000000..4b140ab0 --- /dev/null +++ b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb @@ -0,0 +1,53 @@ +SUMMARY = "OpenMAX Integration layer for VDU" +DESCRIPTION = "OMX IL Libraries,test application and headers for VDU" +LICENSE = "MIT" +LIC_FILES_CHKSUM = "file://LICENSE.md;md5=aaf483d309243c4596f6373eb9c8325f" + +XILINX_VDU_VERSION = "1.0.0" +PV = "${XILINX_VDU_VERSION}-xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" + +BRANCH ?= "master" +REPO ?= "git://github.com/Xilinx/vdu-omx-il.git;protocol=https" +SRCREV ?= "811eefac953fd5e098c69cada97a0dd35f5e9015" + +BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" +SRC_URI = "${REPO};${BRANCHARG} \ + " +S = "${WORKDIR}/git" + +inherit autotools features_check + +REQUIRED_MACHINE_FEATURES = "vdu" + +COMPATIBLE_MACHINE = "^$" +COMPATIBLE_MACHINE:versal-ai-core = "versal-ai-core" +COMPATIBLE_MACHINE:versal-ai-edge = "versal-ai-edge" + +PACKAGE_ARCH = "${SOC_FAMILY_ARCH}" + +DEPENDS = "libvdu-ctrlsw" +RDEPENDS:${PN} = "kernel-module-vdu libvdu-ctrlsw" + +EXTERNAL_INCLUDE="${STAGING_INCDIR}/vdu-ctrl-sw/include" + +do_compile[dirs] = "${S}" +do_install[dirs] = "${S}" + +EXTRA_OEMAKE = " \ + CC='${CC}' CXX='${CXX} ${CXXFLAGS}' \ + EXTERNAL_INCLUDE='${EXTERNAL_INCLUDE}' \ + INSTALL_PATH=${D}${bindir} \ + INCLUDE_INST_PATH=${D}${includedir} \ + " + +do_install:append() { + install -d ${D}${libdir} + + oe_libinstall -C ${S}/bin/ -so libOMX.allegro.core ${D}/${libdir}/ + oe_libinstall -C ${S}/bin/ -so libOMX.allegro.video_decoder ${D}/${libdir}/ +} + +# These libraries shouldn't get installed in world builds unless something +# explicitly depends upon them. + +EXCLUDE_FROM_WORLD = "1" diff --git a/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb new file mode 100755 index 00000000..39265a5d --- /dev/null +++ b/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb @@ -0,0 +1,42 @@ +SUMMARY = "Firmware for VDU" +DESCRIPTION = "Firmware binaries provider for VDU" +LICENSE = "Proprietary" +LIC_FILES_CHKSUM = "file://LICENSE.md;md5=c5784f63397086d836580d8785d1deb9" + +XILINX_VDU_VERSION = "1.0.0" +PV = "${XILINX_VDU_VERSION}-xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" + +S = "${WORKDIR}/git" + +inherit autotools features_check + +REQUIRED_MACHINE_FEATURES = "vdu" + +BRANCH ?= "master" +REPO ?= "git://github.com/Xilinx/vdu-firmware.git;protocol=https" +SRCREV ?= "63fe2fce6e46d5bf03e33300a58a37d8568722ee" + +BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" +SRC_URI = "${REPO};${BRANCHARG}" + +COMPATIBLE_MACHINE = "^$" +COMPATIBLE_MACHINE:versal-ai-core = "versal-ai-core" +COMPATIBLE_MACHINE:versal-ai-edge = "versal-ai-edge" + +PACKAGE_ARCH = "${SOC_FAMILY_ARCH}" +EXTRA_OEMAKE +="INSTALL_PATH=${D}/lib/firmware" + +do_compile[noexec] = "1" +do_install[dirs] = "${S}" + +# Inhibit warnings about files being stripped +INHIBIT_PACKAGE_DEBUG_SPLIT = "1" +INHIBIT_PACKAGE_STRIP = "1" +FILES:${PN} = "/lib/firmware/*" + + +# These libraries shouldn't get installed in world builds unless something +# explicitly depends upon them. +EXCLUDE_FROM_WORLD = "1" + +INSANE_SKIP:${PN} = "ldflags" -- cgit v1.2.3-54-g00ecf From 493e7f063aac00e643b0fff9cbe8b3489400d85c Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Wed, 15 Mar 2023 16:43:56 -0600 Subject: fpgamanager_custom: Fix logic for deploying shell json file fpgamanager_custom bbclass allowed only accel.json file as user input from firmware recipes, if user want to use flat or DFx static design using shell.json, then shell.json is not deployed in rootfs/${nonarch_base_libdir}/firmware/xilinx/${PN} directory. Fix the logic to deploy shell.json (flat or DFx Static) or accel.json (DFx RP) for flat, DFx Static and DFx RP use cases. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle (cherry picked from commit 6d3f12d1feee8b90f3dc72a05266fb3614788ced) Signed-off-by: Mark Hatle --- meta-xilinx-core/classes/fpgamanager_custom.bbclass | 12 ++++++++---- 1 file changed, 8 insertions(+), 4 deletions(-) diff --git a/meta-xilinx-core/classes/fpgamanager_custom.bbclass b/meta-xilinx-core/classes/fpgamanager_custom.bbclass index 466c615b..179169af 100644 --- a/meta-xilinx-core/classes/fpgamanager_custom.bbclass +++ b/meta-xilinx-core/classes/fpgamanager_custom.bbclass @@ -1,3 +1,7 @@ +# This bbclass is inherited by flat, DFx Static and DFx RP firmware recipes. +# fpgamanager_custom.bbclass expects user to generate pl dtsi for flat, DFx Static +# and DFx RP xsa outside of yocto. + inherit devicetree DEPENDS = "dtc-native bootgen-native" @@ -61,8 +65,8 @@ python() { raise bb.parse.SkipRecipe("Need one '.dtsi' or one '.dtbo' file added to SRC_URI ") # Optional input - if 'accel.json' in d.getVar("SRC_URI"): - d.setVar("JSON_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if 'accel.json' in a][0].lstrip('file://'))) + if '.json' in d.getVar("SRC_URI"): + d.setVar("JSON_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.json' in a][0].lstrip('file://'))) if '.xclbin' in d.getVar("SRC_URI"): d.setVar("XCL_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.xclbin' in a][0].lstrip('file://'))) @@ -151,8 +155,8 @@ do_install() { install -Dm 0644 ${S}/${XCL_PATH}/*.xclbin ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/${PN}.xclbin fi - if [ -f ${WORKDIR}/${JSON_PATH}/accel.json ]; then - install -Dm 0644 ${S}/${JSON_PATH}/accel.json ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/accel.json + if [ -f ${S}/${JSON_PATH}/shell.json ] || [ -f ${S}/${JSON_PATH}/accel.json ]; then + install -Dm 0644 ${S}/${JSON_PATH}/*.json ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ fi } -- cgit v1.2.3-54-g00ecf From 1d5ca84fdc3a1983438b52aed7c26ce07f89e468 Mon Sep 17 00:00:00 2001 From: Appana Durga Kedareswara rao Date: Thu, 16 Mar 2023 12:13:56 +0530 Subject: lopper: Update srcrev Below are the updates lopper: assists: bmcmake_metadata_xlnx: Remove unneeded list set operation on node list lopper: assists: baremetallinker_xlnx: Fix compare logic in hex strings Signed-off-by: Appana Durga Kedareswara rao Signed-off-by: Mark Hatle --- .../virtualization-layer/recipes-kernel/lopper/lopper_git.bbappend | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/dynamic-layers/virtualization-layer/recipes-kernel/lopper/lopper_git.bbappend b/meta-xilinx-core/dynamic-layers/virtualization-layer/recipes-kernel/lopper/lopper_git.bbappend index de68acd7..130965f3 100644 --- a/meta-xilinx-core/dynamic-layers/virtualization-layer/recipes-kernel/lopper/lopper_git.bbappend +++ b/meta-xilinx-core/dynamic-layers/virtualization-layer/recipes-kernel/lopper/lopper_git.bbappend @@ -1,5 +1,5 @@ SRC_URI = "git://github.com/devicetree-org/lopper.git;branch=master;protocol=https" -SRCREV = "4fc81d45e35cd4cf3ff19d2a837c6f15c1afbf4b" +SRCREV = "a276bb75efe91e0aebaeb5c19423663751beb687" FILESEXTRAPATHS:prepend := "${THISDIR}/lopper:" -- cgit v1.2.3-54-g00ecf From 800a7efd0aced9445b215451f6e2314cdd7025fc Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Thu, 16 Mar 2023 10:39:40 -0700 Subject: zynqmp-generic: Emulate FSBL hand-off for qemu boot Qemu boots by loading ATF and jumping to it directory. A recent change adds a verification that the data structure passed by the FSBL is correct in a 'mode 5' (SD card) boot. If it's not valid ATF will fail to continue to boot. (JTAG, 'mode 0' boot will proceed but other system behavior changes.) In order to emulate the FSBL handoff to ATF, we need to populate a data structure in fffc0000, and tell ATF to look at that address. See the comments for more information. This also ends up hard-coding the u-boot address. If it changes booting will fail. Signed-off-by: Mark Hatle --- meta-xilinx-core/conf/machine/zynqmp-generic.conf | 28 +++++++++++++++++++++++ 1 file changed, 28 insertions(+) diff --git a/meta-xilinx-core/conf/machine/zynqmp-generic.conf b/meta-xilinx-core/conf/machine/zynqmp-generic.conf index a2d20869..d24da3b4 100644 --- a/meta-xilinx-core/conf/machine/zynqmp-generic.conf +++ b/meta-xilinx-core/conf/machine/zynqmp-generic.conf @@ -101,9 +101,37 @@ QEMU_HW_DTB_PS = "${QEMU_HW_DTB_PATH}/zcu102-arm.dtb" QEMU_HW_DTB_PMU = "${QEMU_HW_DTB_PATH}/zynqmp-pmu.dtb" # Replicate BootROM like behaviour, having loaded SPL and PMU(ROM+FW) +# +# In an actual device the FSBL will run first, load ATF and setup the +# following data structure to tell ATF what to continue booting with. +# +# In QEMU emulation we start booting directly from ATF, so we need to +# setup the structure ourselves. +# +# Write to OCM (See UG1085 for more information), address 0xfffc0000 +# the address to boot from (where u-boot is): +# fffc0000 58 4c 4e 58 01 00 00 00 |XLNX....| +# fffc0008 00 00 00 08 00 00 00 00 |........| +# fffc0010 10 00 00 00 00 00 00 00 |........| +# +# Then write that address (fffc0000) to 0xffd80048 so ATF can find this block +# +# fffc0008 defines the u-boot load address as 0x8000000, if u-boot is +# expected to be elsewhere in memory, you must adjust the value. +# +# We write the structure as big endian to make it easier to match/read +# the table above. Remember the CPU is running in little endian mode, +# with the default resulting in: +# 00000000fffc0000: 0x584e4c58 0x00000001 0x08000000 0x00000000 +# 00000000fffc0010: 0x00000010 0x00000000 +# QB_OPT_APPEND = " \ -hw-dtb ${QEMU_HW_DTB_PS} \ ${@qemu_zynqmp_unhalt(d, True)} \ + -device loader,addr=0xfffc0000,data=0x584c4e5801000000,data-be=true,data-len=8 \ + -device loader,addr=0xfffc0008,data=0x0000000800000000,data-be=true,data-len=8 \ + -device loader,addr=0xfffc0010,data=0x1000000000000000,data-be=true,data-len=8 \ + -device loader,addr=0xffd80048,data=0xfffc0000,data-len=4,attrs-secure=on \ -device loader,file=${DEPLOY_DIR_IMAGE}/arm-trusted-firmware.elf,cpu-num=0 \ -device loader,file=${DEPLOY_DIR_IMAGE}/u-boot.elf \ -device loader,file=${DEPLOY_DIR_IMAGE}/system.dtb,addr=0x100000,force-raw=on \ -- cgit v1.2.3-54-g00ecf From c9a98fe32be99654cae69dab524a744d898bf7a9 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Thu, 16 Mar 2023 18:03:15 -0600 Subject: fpgamanager_custom: Rename bootgen generated bit bin file In case of Zynq-7000, using both "-process_bitstream bin" and "-o" in bootgen flag to convert bit file to bin format, "-o" option will not be effective and generated output file name is ${S}+${BIT_PATH}/.bit.bin file which causes build errors. Hence we need to rename this file from .bit.bin to ${PN}.bit.bin which matches the firmware name in dtbo and move ${PN}.bit.bin to ${B} directory. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-core/classes/fpgamanager_custom.bbclass | 18 +++++++++++++++--- 1 file changed, 15 insertions(+), 3 deletions(-) diff --git a/meta-xilinx-core/classes/fpgamanager_custom.bbclass b/meta-xilinx-core/classes/fpgamanager_custom.bbclass index 179169af..e5255783 100644 --- a/meta-xilinx-core/classes/fpgamanager_custom.bbclass +++ b/meta-xilinx-core/classes/fpgamanager_custom.bbclass @@ -19,7 +19,7 @@ PROVIDES = "" do_fetch[cleandirs] = "${B}" DT_PADDING_SIZE = "0x1000" -BOOTGEN_FLAGS ?= " -arch ${SOC_FAMILY} ${@bb.utils.contains('SOC_FAMILY','zynqmp','-w','-process_bitstream bin',d)}" +BOOTGEN_FLAGS ?= " -arch ${SOC_FAMILY} -w ${@bb.utils.contains('SOC_FAMILY','zynqmp','','-process_bitstream bin',d)}" S ?= "${WORKDIR}" FW_DIR ?= "" @@ -94,7 +94,7 @@ python do_configure() { } python devicetree_do_compile:append() { - import glob, subprocess + import glob, subprocess, shutil soc_family = d.getVar("SOC_FAMILY") # Convert .bit to bit.bin format only if dtsi is input. @@ -112,8 +112,20 @@ python devicetree_do_compile:append() { bootgenargs += ["-image", biffile, "-o", pn + ".bit.bin"] subprocess.run(bootgenargs, check = True) + # In Zynq7k using both "-process_bitstream bin" and "-o" in bootgen flag, + # to convert bit file to bin format, "-o" option will not be effective + # and generated output file name is ${S}+${BIT_PATH}/.bit.bin + # file, Hence we need to rename this file from .bit.bin to + # ${PN}.bit.bin which matches the firmware name in dtbo and move + # ${PN}.bit.bin to ${B} directory. + if soc_family == 'zynq': + src_bitbin_file = glob.glob(d.getVar('S') + (d.getVar('BIT_PATH') or '') + '/*.bit.bin')[0] + dst_bitbin_file = d.getVar('B') + '/' + pn + '.bit.bin' + shutil.move(src_bitbin_file, dst_bitbin_file) + if not os.path.isfile(pn + ".bit.bin"): - bb.fatal("bootgen failed. Enable -log debug with bootgen and check logs") + bb.fatal("Couldn't find %s file, Enable '-log trace' in BOOTGEN_FLAGS" \ + "and check bootgen_log.txt" % (d.getVar('B') + '/' + pn + '.bit.bin')) } do_install() { -- cgit v1.2.3-54-g00ecf From f9d9127ea2511fd790af6c9d3ee11731c38af6be Mon Sep 17 00:00:00 2001 From: Rohit Visavalia Date: Thu, 16 Mar 2023 23:28:26 -0700 Subject: kernel-module-vcu: Update SRCREV Signed-off-by: Rohit Visavalia Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.1.bb | 2 +- meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.1.bb b/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.1.bb index 4a3e42be..9adfcade 100644 --- a/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.1.bb +++ b/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.1.bb @@ -13,7 +13,7 @@ FILESEXTRAPATHS:prepend := "${THISDIR}/files:" BRANCH = "xlnx_rel_v2023.1" REPO = "git://github.com/Xilinx/vcu-modules.git;protocol=https" -SRCREV = "9295f18e8a1a1bcb1a5287b3c1093f98bf9e922f" +SRCREV = "4afe0ab4eb3b7f2d17bcb823dee0caa0f03ab7a0" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = " \ diff --git a/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb index 77dabe19..007e473b 100644 --- a/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb @@ -13,7 +13,7 @@ FILESEXTRAPATHS:prepend := "${THISDIR}/files:" BRANCH = "master" REPO = "git://github.com/Xilinx/vcu-modules.git;protocol=https" -SRCREV = "9295f18e8a1a1bcb1a5287b3c1093f98bf9e922f" +SRCREV = "b7664198e562afa3e2c96174b6e746f3770672f1" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = " \ -- cgit v1.2.3-54-g00ecf From 1dc01c7234a7696d7c0e071b52e63f9d962aa10c Mon Sep 17 00:00:00 2001 From: Ben Levinsky Date: Fri, 17 Mar 2023 08:59:45 -0700 Subject: open-amp: device-tree: Update Versal NET DTs In addition update device tree bbappend to mimic how QEMU DTs use overlays and only update to EXTRA_OVERLAYS if the proper conditions are met Signed-off-by: Ben Levinsky Signed-off-by: Mark Hatle --- .../recipes-bsp/device-tree/device-tree.bbappend | 33 +++++++++++----------- .../device-tree/files/versal-net-openamp.dtsi | 8 +++--- 2 files changed, 20 insertions(+), 21 deletions(-) diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/device-tree.bbappend b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/device-tree.bbappend index b7c7141e..4fd8b971 100644 --- a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/device-tree.bbappend +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/device-tree.bbappend @@ -10,20 +10,19 @@ SRC_URI = " \ # openamp.dtsi is in the WORKDIR DT_INCLUDE:append = " ${WORKDIR}" -do_configure:append() { - if ${@bb.utils.contains('DISTRO_FEATURES', 'openamp', ' true', 'false', d)} && [ "${ENABLE_OPENAMP_DTSI}" = "1" ]; then - if [ -e "${DT_FILES_PATH}/system-top.dts" ]; then - if [ -e "${WORKDIR}/${MACHINE}-openamp.dtsi" ]; then - sed -i '/${MACHINE}-openamp\.dtsi/d' ${DT_FILES_PATH}/system-top.dts - echo '/include/ "${MACHINE}-openamp.dtsi"' >> ${DT_FILES_PATH}/system-top.dts - elif [ -e "${WORKDIR}/${SOC_FAMILY}-openamp.dtsi" ]; then - sed -i '/${SOC_FAMILY}-openamp\.dtsi/d' ${DT_FILES_PATH}/system-top.dts - echo '/include/ "${SOC_FAMILY}-openamp.dtsi"' >> ${DT_FILES_PATH}/system-top.dts - else - bbfatal "${MACHINE}-openamp.dtsi or ${SOC_FAMILY}-openamp.dtsi file is not available. Cannot automatically add to system-top.dts." - fi - else - bbfatal "system-top.dts not found in this configuration, cannot automatically add OpenAmp device tree nodes (openamp.dtsi)" - fi - fi -} +do_configure[vardeps] += "ENABLE_OPENAMP_DTSI OPENAMP_EXTRA_OVERLAYS" + +OPENAMP_EXTRA_OVERLAYS:zynq = "zynq-openamp.dtsi" +OPENAMP_EXTRA_OVERLAYS:zynqmp = "zynqmp-openamp.dtsi" +OPENAMP_EXTRA_OVERLAYS:versal = "versal-openamp.dtsi" +OPENAMP_EXTRA_OVERLAYS:versal-net = "versal-net-openamp.dtsi" + +def set_openamp_extra_overlays(d): + distro_features = d.getVar('DISTRO_FEATURES', True) + enable_openamp_dtsi = d.getVar('ENABLE_OPENAMP_DTSI') + if 'openamp' in distro_features and enable_openamp_dtsi == '1': + return d.getVar('OPENAMP_EXTRA_OVERLAYS', True) + else: + return '' + +EXTRA_OVERLAYS:append = "${@set_openamp_extra_overlays(d)}" diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/files/versal-net-openamp.dtsi b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/files/versal-net-openamp.dtsi index 773c35a3..694a2fd0 100644 --- a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/files/versal-net-openamp.dtsi +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/files/versal-net-openamp.dtsi @@ -35,7 +35,7 @@ reg = <0x0 0xeba00000 0x0 0x10000>; status = "okay"; compatible = "mmio-sram"; - power-domain = <&versal_firmware 0x183180cb>; + power-domain = <&versal_net_firmware 0x183180cb>; }; tcm_0b: tcm_0b@eba10000 { @@ -43,7 +43,7 @@ reg = <0x0 0xeba10000 0x0 0x8000>; status = "okay"; compatible = "mmio-sram"; - power-domain = <&versal_firmware 0x183180cc>; + power-domain = <&versal_net_firmware 0x183180cc>; }; tcm_0c: tcm_0b@eba20000 { @@ -51,7 +51,7 @@ reg = <0x0 0xeba20000 0x0 0x8000>; status = "okay"; compatible = "mmio-sram"; - power-domain = <&versal_firmware 0x183180cd>; + power-domain = <&versal_net_firmware 0x183180cd>; }; r52ss { @@ -68,7 +68,7 @@ ranges; sram = <&tcm_0a>, <&tcm_0b>, <&tcm_0c>; memory-region = <&rproc_0_reserved>, <&rpu0vdev0buffer>, <&rpu0vdev0vring0>, <&rpu0vdev0vring1>; - power-domain = <&versal_firmware 0x181100BF>; + power-domain = <&versal_net_firmware 0x181100BF>; mboxes = <&ipi_mailbox_rpu0 0>, <&ipi_mailbox_rpu0 1>; mbox-names = "tx", "rx"; }; -- cgit v1.2.3-54-g00ecf From b6fc164aae0e8f8f46aef9f6fa7d53c1cac959b2 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 17 Mar 2023 15:44:25 +0530 Subject: Updated SRCREV for 2023.1_2631 Signed-off-by: Siva Addepalli (cherry picked from commit d5e7e01ca6979d0ce1d8f5158bcffbf565a56c65) Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.1.bb | 2 +- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.1.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.1.bb index d369b93e..87a51983 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.1.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.1.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.5" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "952c167632ed184e5f9d5bac3203b8e32e82af30" +SRCREV = "e409860b5c5e78417eccf058261a6f00dc29a44a" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 9aad7385..471be333 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -7,7 +7,7 @@ ESW_BRANCH[2023.1] = "xlnx_rel_v2023.1-next" ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" -ESW_REV[2023.1] = "f81f2fb1bf0aa0fc0e893fe9e10cdb49c63af064" +ESW_REV[2023.1] = "2e9f85579e203d76b983ff21c4294a8c3d578942" ESW_REV[2023.2] = "675797f7a0a048cab241bf1f8004ab16d7ca9213" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" -- cgit v1.2.3-54-g00ecf From 1e106c71a4c1fca727a6a2e3af92336d806b7edc Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Fri, 17 Mar 2023 11:12:47 -0500 Subject: linux-xlnx: Sync w/ 2023.1 Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 717696cf..87a51983 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.5" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "943565167d57362181d853ade7ae551488036754" +SRCREV = "e409860b5c5e78417eccf058261a6f00dc29a44a" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From abffd2fb1afe76d4e89140aaaafb562fe6b280d4 Mon Sep 17 00:00:00 2001 From: Appana Durga Kedareswara rao Date: Tue, 21 Mar 2023 17:07:04 +0530 Subject: meta-xilinx-standalone-experimental: recipes-libraries: xilffs: Remove unneeded required distro feature check xilffs should be compiled if the design has no sd peripheral update the recipe for the same. Signed-off-by: Appana Durga Kedareswara rao Signed-off-by: Mark Hatle --- meta-xilinx-standalone-experimental/recipes-libraries/xilffs_git.bb | 2 -- 1 file changed, 2 deletions(-) diff --git a/meta-xilinx-standalone-experimental/recipes-libraries/xilffs_git.bb b/meta-xilinx-standalone-experimental/recipes-libraries/xilffs_git.bb index d5f12de5..298e3033 100644 --- a/meta-xilinx-standalone-experimental/recipes-libraries/xilffs_git.bb +++ b/meta-xilinx-standalone-experimental/recipes-libraries/xilffs_git.bb @@ -1,7 +1,5 @@ inherit esw features_check -REQUIRED_MACHINE_FEATURES = "sdps" - ESW_COMPONENT_SRC = "/lib/sw_services/xilffs/src/" ESW_COMPONENT_NAME = "libxilffs.a" -- cgit v1.2.3-54-g00ecf From 0812624ef2a3324c55d29b68ad294c3e8f3753be Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Tue, 21 Mar 2023 14:24:49 -0500 Subject: u-boot-xlnx-uenv: Add missing space to append operation Based on github Pull Request #42. While that pull request is no longer valid with other changes, the actual bug still exists. Originally reported by AnatoliiShablov. Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-uenv.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-uenv.bb b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-uenv.bb index 7cd796c6..228396eb 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-uenv.bb +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-uenv.bb @@ -79,7 +79,7 @@ def uenv_populate(d): return env -DEPENDS:append := "virtual/kernel ${@remove_task_from_depends(d)}" +DEPENDS:append := " virtual/kernel ${@remove_task_from_depends(d)}" # bootargs, default to booting with the rootfs device being partition 2 KERNEL_BOOTARGS:zynq = "earlyprintk console=ttyPS0,115200 root=/dev/mmcblk0p2 rw rootwait" -- cgit v1.2.3-54-g00ecf From 41f719f070eff3ae5774a175763fa91fd8169e28 Mon Sep 17 00:00:00 2001 From: Parth Gajjar Date: Wed, 22 Mar 2023 07:07:50 -0700 Subject: kernel-module-mali: Updated clock name to match LIMA driver Added 0027-Updated-clock-name-and-structure-to-match-LIMA-drive.patch Updated clock name and structure to match LIMA driver Signed-off-by: Parth Gajjar Fixup patch path to match recipe S value. Signed-off-by: Mark Hatle --- .../recipes-graphics/mali/kernel-module-mali.bb | 1 + ...ck-name-and-structure-to-match-LIMA-drive.patch | 95 ++++++++++++++++++++++ 2 files changed, 96 insertions(+) create mode 100644 meta-xilinx-core/recipes-graphics/mali/kernel-module-mali/0027-Updated-clock-name-and-structure-to-match-LIMA-drive.patch diff --git a/meta-xilinx-core/recipes-graphics/mali/kernel-module-mali.bb b/meta-xilinx-core/recipes-graphics/mali/kernel-module-mali.bb index 047c0d7a..268759c2 100644 --- a/meta-xilinx-core/recipes-graphics/mali/kernel-module-mali.bb +++ b/meta-xilinx-core/recipes-graphics/mali/kernel-module-mali.bb @@ -33,6 +33,7 @@ SRC_URI = " \ file://0024-Use-community-device-tree-names.patch \ file://0025-Import-DMA_BUF-module-and-update-register_shrinker-f.patch \ file://0026-Fix-gpu-driver-probe-failure.patch \ + file://0027-Updated-clock-name-and-structure-to-match-LIMA-drive.patch \ " SRC_URI[md5sum] = "85ea110dd6675c70b7d01af87ec9633c" SRC_URI[sha256sum] = "7a67127341d17640c1fff5dad80258fb2a37c8a2121b81525fe2327e4532ce2b" diff --git a/meta-xilinx-core/recipes-graphics/mali/kernel-module-mali/0027-Updated-clock-name-and-structure-to-match-LIMA-drive.patch b/meta-xilinx-core/recipes-graphics/mali/kernel-module-mali/0027-Updated-clock-name-and-structure-to-match-LIMA-drive.patch new file mode 100644 index 00000000..18081dc3 --- /dev/null +++ b/meta-xilinx-core/recipes-graphics/mali/kernel-module-mali/0027-Updated-clock-name-and-structure-to-match-LIMA-drive.patch @@ -0,0 +1,95 @@ +From a64707b7fea40d326c5b18636a41a5994f60048d Mon Sep 17 00:00:00 2001 +From: Parth Gajjar +Date: Fri, 24 Feb 2023 05:11:38 -0800 +Subject: [PATCH] Updated clock name and structure to match LIMA driver + +Clock-names: + gpu -> bus + gpu_pp0,gpu_pp1 -> core + +This will allow us to have a single device tree that works with both the +mali driver, as well as the lima driver. + +Signed-off-by: Parth Gajjar +--- + linux/mali_kernel_linux.c | 37 +++++++------------ + 1 file changed, 13 insertions(+), 24 deletions(-) + +diff --git a/linux/mali_kernel_linux.c b/linux/mali_kernel_linux.c +index ad450b4..050af67 100644 +--- a/linux/mali_kernel_linux.c ++++ b/linux/mali_kernel_linux.c +@@ -48,9 +48,8 @@ + + #if defined(CONFIG_ARCH_ZYNQMP) + /* Initialize variables for clocks */ +-struct clk *clk_gpu; +-struct clk *clk_gpu_pp0; +-struct clk *clk_gpu_pp1; ++struct clk *clk_bus; ++struct clk *clk_core; + mali_bool clk_enabled; + #endif + +@@ -291,19 +290,14 @@ static int mali_enable_clk(void) + return 0; + + clk_enabled = MALI_TRUE; +- err = clk_prepare_enable(clk_gpu); ++ err = clk_prepare_enable(clk_bus); + if (err) { + MALI_PRINT_ERROR(("Could not enable clock for GP\n\r")); + return err; + } +- err = clk_prepare_enable(clk_gpu_pp0); ++ err = clk_prepare_enable(clk_core); + if (err) { +- MALI_PRINT_ERROR(("Could not enable clock for PP0\n\r")); +- return err; +- } +- err = clk_prepare_enable(clk_gpu_pp1); +- if (err) { +- MALI_PRINT_ERROR(("Could not enable clock for PP1\n\r")); ++ MALI_PRINT_ERROR(("Could not enable clock for PP\n\r")); + return err; + } + #endif +@@ -315,9 +309,8 @@ static void mali_disable_clk(void) + #if defined(CONFIG_ARCH_ZYNQMP) + if (clk_enabled) { + clk_enabled = MALI_FALSE; +- clk_disable_unprepare(clk_gpu); +- clk_disable_unprepare(clk_gpu_pp0); +- clk_disable_unprepare(clk_gpu_pp1); ++ clk_disable_unprepare(clk_bus); ++ clk_disable_unprepare(clk_core); + } + #endif + } +@@ -631,17 +624,13 @@ static int mali_probe(struct platform_device *pdev) + + #if defined(CONFIG_ARCH_ZYNQMP) + /* Initialize clocks for GPU and PP */ +- clk_gpu = devm_clk_get(&pdev->dev, "gpu"); +- if (IS_ERR(clk_gpu)) +- return PTR_ERR(clk_gpu); +- +- clk_gpu_pp0 = devm_clk_get(&pdev->dev, "core"); +- if (IS_ERR(clk_gpu_pp0)) +- return PTR_ERR(clk_gpu_pp0); ++ clk_bus = devm_clk_get(&pdev->dev, "bus"); ++ if (IS_ERR(clk_bus)) ++ return PTR_ERR(clk_bus); + +- clk_gpu_pp1 = devm_clk_get(&pdev->dev, "bus"); +- if (IS_ERR(clk_gpu_pp1)) +- return PTR_ERR(clk_gpu_pp1); ++ clk_core = devm_clk_get(&pdev->dev, "core"); ++ if (IS_ERR(clk_core)) ++ return PTR_ERR(clk_core); + #endif + + err = mali_enable_clk(); +-- +2.25.1 + -- cgit v1.2.3-54-g00ecf From 4f65e8c652a8014e411af39a1589dd0532aff9ad Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Fri, 24 Mar 2023 15:18:15 -0500 Subject: xrt: Force XRT and ZOCL versions to align at install time XRT requiring ZOCL will just ensure that the component is present, however we've observed interface changes that make this not work in all cases. Instead we now require the ZOCL version to be the same as the XRT version. Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb b/meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb index 429a1631..4b16fee6 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb @@ -22,7 +22,7 @@ BBCLASSEXTEND = "native nativesdk" # util-linux is for libuuid-dev. DEPENDS = "libdrm opencl-headers ocl-icd opencl-clhpp boost util-linux git-replacement-native protobuf-native protobuf elfutils libffi rapidjson" -RDEPENDS:${PN} = "bash ocl-icd boost-system boost-filesystem zocl" +RDEPENDS:${PN} = "bash ocl-icd boost-system boost-filesystem zocl (= ${PV})" EXTRA_OECMAKE += " \ -DCMAKE_BUILD_TYPE=Release \ -- cgit v1.2.3-54-g00ecf From a93843bbf7e4954cac686100bb9c4fdffd47a846 Mon Sep 17 00:00:00 2001 From: Rohit Visavalia Date: Wed, 22 Mar 2023 23:07:01 -0700 Subject: libomxil-xlnx: Update SRCREV Updated commit id to fix decoder alignment issue Signed-off-by: Rohit Visavalia Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.1.bb | 2 +- meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.1.bb b/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.1.bb index 21fdeb67..3c258008 100644 --- a/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.1.bb +++ b/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.1.bb @@ -8,7 +8,7 @@ PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', BRANCH ?= "xlnx_rel_v2023.1" REPO ?= "git://github.com/Xilinx/vcu-omx-il.git;protocol=https" -SRCREV = "59c4131db87b8c2426ea7e304eeb2847f30c4ded" +SRCREV = "4773b372b72b88ccbabc122b023f042fb22a019e" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" diff --git a/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb index 4e2cd86a..0970d28b 100644 --- a/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb @@ -8,7 +8,7 @@ PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', BRANCH ?= "master" REPO ?= "git://github.com/Xilinx/vcu-omx-il.git;protocol=https" -SRCREV = "59c4131db87b8c2426ea7e304eeb2847f30c4ded" +SRCREV = "d249023cf54f15b5c25d0d16fc3f5892404e0438" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" -- cgit v1.2.3-54-g00ecf From 934024344e434c0939626494eaf261633019726d Mon Sep 17 00:00:00 2001 From: Rohit Visavalia Date: Wed, 22 Mar 2023 23:27:49 -0700 Subject: libvdu-ctrlsw: Update SRCREV Signed-off-by: Rohit Visavalia Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.1.bb | 2 +- meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.1.bb b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.1.bb index 57e11b6b..82f3c4f5 100755 --- a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.1.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.1.bb @@ -12,7 +12,7 @@ REQUIRED_MACHINE_FEATURES = "vdu" BRANCH ?= "xlnx_rel_v2023.1" REPO ?= "git://github.com/Xilinx/vdu-ctrl-sw.git;protocol=https" -SRCREV ?= "7f87371a010c22fb0019abe92c7590cd3c08d13f" +SRCREV ?= "06fc18b303b40d4fee7549ad162c22ee1bc31582" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" diff --git a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb index db7dde27..dab0e467 100755 --- a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb @@ -12,7 +12,7 @@ REQUIRED_MACHINE_FEATURES = "vdu" BRANCH ?= "master" REPO ?= "git://github.com/Xilinx/vdu-ctrl-sw.git;protocol=https" -SRCREV ?= "7f87371a010c22fb0019abe92c7590cd3c08d13f" +SRCREV ?= "06fc18b303b40d4fee7549ad162c22ee1bc31582" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" -- cgit v1.2.3-54-g00ecf From 20c7bbee4684f5fd71f0d57cd7051fa3c6a100dc Mon Sep 17 00:00:00 2001 From: rbramand Date: Fri, 24 Mar 2023 00:26:28 +0530 Subject: xrt_git:zocl_git:update commitid Signed-off-by: rbramand Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 893acaf1..4cb3b157 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -3,6 +3,6 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG}" BRANCH= "master" -SRCREV= "fff03c822edc0971a4a58398a0004c1c99884c63" +SRCREV= "f1e8e126bd9265a78b042a354afc4f5d567bb073" PV = "202310.2.15.0" -- cgit v1.2.3-54-g00ecf From 84821000abc60fa3aa6e9eb80d7caa98167e314b Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 28 Mar 2023 12:50:53 +0530 Subject: Updated SRCREV for 2023.2_4891 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.1.bb | 2 +- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 3 files changed, 3 insertions(+), 3 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 4090cebb..78da179e 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "xlnx_rel_v2023.1" -SRCREV ?= "a6b55e54e9af4856e7eead1bbf06f4b1afb64c0f" +SRCREV ?= "24d56bb3df32c3e4b6ac1a7930b0c788a3453d58" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.1.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.1.bb index 87a51983..e258af5c 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.1.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.1.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.5" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "e409860b5c5e78417eccf058261a6f00dc29a44a" +SRCREV = "4d9224895c20772cc2b9a6f9bf7926b846cde1c5" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 471be333..348a3b79 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "2e9f85579e203d76b983ff21c4294a8c3d578942" -ESW_REV[2023.2] = "675797f7a0a048cab241bf1f8004ab16d7ca9213" +ESW_REV[2023.2] = "c5960021b8db5f6bfd15e036e8719a6734af67f1" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 4a33ad5d933110beb55bef1572c0ac6fb75d9ca0 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Wed, 29 Mar 2023 12:27:27 +0530 Subject: Updated Commit ID gen_config.py: NFS boot host server IP Kconfig.part: Open-amp for versal-net README: Update gen-machine-conf repo with 2023 release info Update machine overrides for k26 boards Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index fe54d9e1..6c661f10 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit fe54d9e18a29888e924aefefbe08955fafea860f +Subproject commit 6c661f10c6cf3f658ebe8fcab749d09cbdb407fd -- cgit v1.2.3-54-g00ecf From daea12eb4f1287904a3ff36670eb4162b7e3038f Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 29 Mar 2023 11:47:57 +0530 Subject: Updated SRCREV for 2023.2_5907 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- .../recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb | 2 +- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 4 files changed, 4 insertions(+), 4 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 78da179e..faa36026 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "xlnx_rel_v2023.1" -SRCREV ?= "24d56bb3df32c3e4b6ac1a7930b0c788a3453d58" +SRCREV ?= "d38076ebe407cf53f73ab15b9e6947937f0430ae" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" diff --git a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb index d9d8db7a..91e9760c 100644 --- a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb @@ -1,5 +1,5 @@ ATF_VERSION = "2.8" -SRCREV = "9c3b04f7b996b21428ff4c64da01d12a4526a1b8" +SRCREV = "81e24ae0b74742e5902aac9fba7fb7117f12664a" BRANCH = "xlnx_rebase_v2.8" LIC_FILES_CHKSUM = "file://license.rst;md5=1dd070c98a281d18d9eefd938729b031" diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index c2c54090..fd51561a 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "87298fde44b43e0552f0f77d7d3c1aaf4f7c9566" +SRCREV = "8624651f9ae49d326e29d9ec9a80a881a769640a" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 87a51983..8be1de42 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.5" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "e409860b5c5e78417eccf058261a6f00dc29a44a" +SRCREV = "e3bd0ec15583300d1ae3babc43b6fbd2a141e7ad" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 3b4b2a48c1fcbab7c7de08eeda5c7e7c7e91919a Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 30 Mar 2023 10:11:30 +0530 Subject: Updated SRCREV for 2023.2_3407 Signed-off-by: Siva Addepalli --- .../recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb | 2 +- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb index 91e9760c..454fbe86 100644 --- a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb @@ -1,5 +1,5 @@ ATF_VERSION = "2.8" -SRCREV = "81e24ae0b74742e5902aac9fba7fb7117f12664a" +SRCREV = "6fcc6b179dc14de0f6ba19a1829e597b60f7aee5" BRANCH = "xlnx_rebase_v2.8" LIC_FILES_CHKSUM = "file://license.rst;md5=1dd070c98a281d18d9eefd938729b031" diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 8be1de42..d47fc10c 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.5" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "e3bd0ec15583300d1ae3babc43b6fbd2a141e7ad" +SRCREV = "421f19b8bd9659dbbfea3d9252c93633de642134" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 644883577f7a1fb24138a6c5d5ebd4f94248990f Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Tue, 28 Mar 2023 16:32:32 +0530 Subject: Updated SRCREV for 2023.2 Signed-off-by: Siva Addepalli Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb | 0 meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb | 0 meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb | 0 3 files changed, 0 insertions(+), 0 deletions(-) mode change 100755 => 100644 meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb mode change 100755 => 100644 meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb mode change 100755 => 100644 meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb diff --git a/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb old mode 100755 new mode 100644 diff --git a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb old mode 100755 new mode 100644 diff --git a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb old mode 100755 new mode 100644 -- cgit v1.2.3-54-g00ecf From f09ec06a79d3068aeecd591b69aa05e06b8a0966 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Wed, 29 Mar 2023 12:35:01 -0500 Subject: arm-trusted-firmware: Update SRCREV due to rebase Signed-off-by: Mark Hatle --- .../recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.1.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.1.bb b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.1.bb index 91e9760c..454fbe86 100644 --- a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.1.bb +++ b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.1.bb @@ -1,5 +1,5 @@ ATF_VERSION = "2.8" -SRCREV = "81e24ae0b74742e5902aac9fba7fb7117f12664a" +SRCREV = "6fcc6b179dc14de0f6ba19a1829e597b60f7aee5" BRANCH = "xlnx_rebase_v2.8" LIC_FILES_CHKSUM = "file://license.rst;md5=1dd070c98a281d18d9eefd938729b031" -- cgit v1.2.3-54-g00ecf From 57776e5592ec5a6ef647bdd8fcf836b70e8c9be5 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 27 Mar 2023 17:10:19 -0500 Subject: meta-xilinx-standalone-experimental: recipes-libraries: xilffs: Remove features check Previous commit (abffd2fb1afe76d4e89140aaaafb562fe6b280d4) already removed the feature to be checked, but this resulted in a warning about the features_check being loaded, but not checking anything. Signed-off-by: Mark Hatle --- meta-xilinx-standalone-experimental/recipes-libraries/xilffs_git.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone-experimental/recipes-libraries/xilffs_git.bb b/meta-xilinx-standalone-experimental/recipes-libraries/xilffs_git.bb index 298e3033..b8dc3b33 100644 --- a/meta-xilinx-standalone-experimental/recipes-libraries/xilffs_git.bb +++ b/meta-xilinx-standalone-experimental/recipes-libraries/xilffs_git.bb @@ -1,4 +1,4 @@ -inherit esw features_check +inherit esw ESW_COMPONENT_SRC = "/lib/sw_services/xilffs/src/" ESW_COMPONENT_NAME = "libxilffs.a" -- cgit v1.2.3-54-g00ecf From 750ecaca4d9a48630362ce29b95fe74af8ef761f Mon Sep 17 00:00:00 2001 From: Appana Durga Kedareswara rao Date: Tue, 28 Mar 2023 20:15:26 +0530 Subject: lopper: Update srcrev for 2023.1 Below are the Updates lopper: assists: baremetal_xparameters_xlnx: Add support for generating NUMBER_OF_SLRS define lopper: assists: baremetallinker_xlnx: For memory tests configuration default memory should be ocm if available Signed-off-by: Appana Durga Kedareswara rao Signed-off-by: Mark Hatle --- .../virtualization-layer/recipes-kernel/lopper/lopper_git.bbappend | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/dynamic-layers/virtualization-layer/recipes-kernel/lopper/lopper_git.bbappend b/meta-xilinx-core/dynamic-layers/virtualization-layer/recipes-kernel/lopper/lopper_git.bbappend index 130965f3..0440b1bc 100644 --- a/meta-xilinx-core/dynamic-layers/virtualization-layer/recipes-kernel/lopper/lopper_git.bbappend +++ b/meta-xilinx-core/dynamic-layers/virtualization-layer/recipes-kernel/lopper/lopper_git.bbappend @@ -1,5 +1,5 @@ SRC_URI = "git://github.com/devicetree-org/lopper.git;branch=master;protocol=https" -SRCREV = "a276bb75efe91e0aebaeb5c19423663751beb687" +SRCREV = "395f00d44ee259d5db6fd6165c6cd18c8fe40e2f" FILESEXTRAPATHS:prepend := "${THISDIR}/lopper:" -- cgit v1.2.3-54-g00ecf From 486f7e3fbd1db7c2247d2f4a769fdf97eb86b400 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 31 Mar 2023 10:29:22 +0530 Subject: Updated SRCREV for 2023.2_6311 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index fd51561a..01082966 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "8624651f9ae49d326e29d9ec9a80a881a769640a" +SRCREV = "40a08d69e749c0472103551c85c02c41f979453d" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 348a3b79..be6d21fc 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "2e9f85579e203d76b983ff21c4294a8c3d578942" -ESW_REV[2023.2] = "c5960021b8db5f6bfd15e036e8719a6734af67f1" +ESW_REV[2023.2] = "355a7b955fb9f3ba021daec3a0e2ae7877b7da9b" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 41d07cfe99eded7b138a3927e96713ceb92288bd Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 3 Apr 2023 22:26:53 +0530 Subject: Updated SRCREV for 2023.2_4771 Signed-off-by: Siva Addepalli --- .../recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb index 454fbe86..3576ae5d 100644 --- a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb @@ -1,5 +1,5 @@ ATF_VERSION = "2.8" -SRCREV = "6fcc6b179dc14de0f6ba19a1829e597b60f7aee5" +SRCREV = "c482195bb98f49fb502b606cc3b2a37c8ec5cedb" BRANCH = "xlnx_rebase_v2.8" LIC_FILES_CHKSUM = "file://license.rst;md5=1dd070c98a281d18d9eefd938729b031" -- cgit v1.2.3-54-g00ecf From 886f6a9f92b6a9a4fb6dc6c59737536e4498fc2f Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 4 Apr 2023 22:28:48 +0530 Subject: Updated SRCREV for 2023.2_6119 Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index be6d21fc..c4407cb2 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "2e9f85579e203d76b983ff21c4294a8c3d578942" -ESW_REV[2023.2] = "355a7b955fb9f3ba021daec3a0e2ae7877b7da9b" +ESW_REV[2023.2] = "7addf6855144a06f9fa61771b6c994603889cd95" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 111fbdf776f01553f35053bc39760f70060e0fde Mon Sep 17 00:00:00 2001 From: Appana Durga Kedareswara rao Date: Wed, 29 Mar 2023 21:01:28 +0530 Subject: meta-xilinx-standalone-experimental: conf: dtb-embeddedsw.inc: Update srcrev for 2023.1 Below are the updates: cmake: UserConfig.cmake: Add extra space before unsetting __clang__ option lib: sw_services: xilplmi: Add cmake config parameter ssit_plm_to_plm_comm_en lib: xilmailbox: example: Fix the address truncation ttcps: Update ttcps examples cmakelists to use ttc from index1 create_app: Improvements to avoid the errors during code Intellisense Merge pull request #10 from onkarh/xlnx_rel_v2023.1_sdt sample_sdt: Pushing update sample system device trees config_bsp : Fix to retain the old library configuration while changing the version scripts: rigel_scripts: library_utils: Fix race condition in add_lib scripts: rigel_scripts: create_app: Add check for copying compile_commands.json file cmake: UserConfig.cmake: Undefine __clang__ by default inorder to make IntelliSense work Revert "regression testing" Delete mipicsiss and v_hdmitxss1 examples folders Signed-off-by: Appana Durga Kedareswara rao Signed-off-by: Mark Hatle (cherry picked from commit f0bd46699897c7ac50b3eb412622396c29bbed29) Signed-off-by: Mark Hatle --- meta-xilinx-standalone-experimental/conf/dtb-embeddedsw.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone-experimental/conf/dtb-embeddedsw.inc b/meta-xilinx-standalone-experimental/conf/dtb-embeddedsw.inc index 83943260..b252e609 100644 --- a/meta-xilinx-standalone-experimental/conf/dtb-embeddedsw.inc +++ b/meta-xilinx-standalone-experimental/conf/dtb-embeddedsw.inc @@ -7,7 +7,7 @@ ESW_VER = "2023.1_sdt_experimental" REPO = "git://github.com/Xilinx/embeddedsw-experimental-dt-support.git;protocol=https" ESW_BRANCH[2023.1_sdt_experimental] = "xlnx_rel_v2023.1_sdt" -ESW_REV[2023.1_sdt_experimental] = "21a439d095e2ce307816cf00b0f63f16afe49dc2" +ESW_REV[2023.1_sdt_experimental] = "b47bfef27d7af0690add590b9c98e6fc51a1a1f6" LIC_FILES_CHKSUM[master] = '7c92de7a21a6613265035c28f4a92f48' LIC_FILES_CHKSUM[xlnx_rel_v2022.1_sdt_experimental_beta] = 'e26f53a7d6f58f4b1a9687099417225c' LIC_FILES_CHKSUM[xlnx_rel_v2023.1_sdt_experimental] = 'ce611484168a6000bd35df68fc4f4290' -- cgit v1.2.3-54-g00ecf From c208cebfb7c0401e87532896db87a247ae97abe8 Mon Sep 17 00:00:00 2001 From: rbramand Date: Tue, 4 Apr 2023 22:00:31 +0530 Subject: xrt and zocl commitid Changelogs : Move to 2023.1 branch Fix for CR-1150166 & CR-1150159: add checks to handle out of bound heap access (#7479) CR-1150547: Disable events are missing for AIE tile trace in runtime mode for AIE-ML (#7476) Revert back vck5000's aie-pl test xclbin name (#7482) CR-1158565 update_access_mode: Operation not supported (#7484) Update dynamic regions to better display PL and PS kernels (#7477) set aie clock rate correctly (#7481) Fix for CR-1124404 Remove ctypes bindings from python tests (#7480) Update codeql-analysis.yml moving petalinux to 0329 (#7483) Fixed aie metadat sysfs and reset DFX platform (#7474) Add changes to support xbutil reset on Edge Platforms (#7472) CR 1139245: Flush trace when windowing on PCIe devices (#7473) Update CHANGELOG.rst VITIS-6990: xrt::xclbin API to obtain interface_uuid (#7403) CR-1158428 xbmgmt examine -r cmc has misleading output (#7468) Added PL Reset support from ZOCL driver (#7455) Fixed ps kernel load issue (#7469) CR-1153585 - Fix Mpd msd binaries seg faults with latest XRT (#7463) CR-1158312 XRT regression : xclRegRW: can't map CU (#7470) fixing aie only check (#7467) Modified AIE status to report relative row numbers (#7466) flush trace when windowing in AIE1 (#7465) CR-1144075 Compile time warnings read_register/write_register for xrt::kernel (#7461) Move to latest petalinux build for Edge platforms (#7462) Remove http references per Palamida request (#7364) Update CHANGELOG.rst Signed-off-by: rbramand Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 4cb3b157..2a5a649b 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -2,7 +2,7 @@ REPO ?= "git://github.com/Xilinx/XRT.git;protocol=https" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" -BRANCH= "master" -SRCREV= "f1e8e126bd9265a78b042a354afc4f5d567bb073" +BRANCH= "2023.1" +SRCREV= "619e4b1238cf68524e4d8f08af0a72277659118a" PV = "202310.2.15.0" -- cgit v1.2.3-54-g00ecf From 6df581a08206748dc5fd3699ba533cd06bb39b7b Mon Sep 17 00:00:00 2001 From: Tejas Bhumkar Date: Wed, 29 Mar 2023 19:17:18 +0530 Subject: u-boot-xlnx-blob.inc:add SYSTEM_DTB_BLOB variable Add SYSTEM_DTB_BLOB variable in blob generate function to generate fit-dtb.blob using system.dtb when this is set to 1. This is needed in case like Versal SC build, where some modification like bootargs or user node additions. should be reflected in system.dtb and fit-dtb.blob. Signed-off-by: Swagath Gadde Tested-by: Tejas Bhumkar Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc | 9 +++++++-- 1 file changed, 7 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc index 4d9a45c0..d44ab7e7 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc @@ -98,9 +98,14 @@ do_blob_generate () { DTBO=$(echo $CC_DTB | cut -d: -f1) BASE_DTB=$(echo $CC_DTB | cut -d: -f2) OUTPUT_DTB=$(echo $CC_DTB | cut -d: -f3) - bbnote "fdtoverlay -o ${DT_BLOB_DIR}/${OUTPUT_DTB} -i ${B}/arch/arm/dts/${BASE_DTB} ${B}/arch/arm/dts/${DTBO}" + if [ "${SYSTEM_DTB_BLOB}" == "1" ]; then + BASE_SYS_DTB="${RECIPE_SYSROOT}/${DTB_PATH}/${DTB_NAME}" + else + BASE_SYS_DTB="${B}/arch/arm/dts/${BASE_DTB}" + fi + bbnote "fdtoverlay -o ${DT_BLOB_DIR}/${OUTPUT_DTB} -i ${BASE_SYS_DTB} ${B}/arch/arm/dts/${DTBO}" if [ -f ${B}/arch/arm/dts/${DTBO} ]; then - fdtoverlay -o ${DT_BLOB_DIR}/${OUTPUT_DTB} -i ${B}/arch/arm/dts/${BASE_DTB} ${B}/arch/arm/dts/${DTBO} + fdtoverlay -o ${DT_BLOB_DIR}/${OUTPUT_DTB} -i ${BASE_SYS_DTB} ${B}/arch/arm/dts/${DTBO} fi done -- cgit v1.2.3-54-g00ecf From d202888210404bf5e75f851056317860da6c468e Mon Sep 17 00:00:00 2001 From: Tejas Bhumkar Date: Wed, 29 Mar 2023 19:17:33 +0530 Subject: u-boot-xlnx-blob.inc:Add IMPORT_CC_UBOOT_DTBS variable Added IMPORT_CC_UBOOT_DTBS variable in blob generate function to generate reselected dtb using uboot-device-tree.dtb when this is set to 1. This is needed in case like VEK280-revB,because here we are using ADI-PHY ethernet which is included in uboot-device-tree.dtb. Signed-off-by: Tejas Bhumkar Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc | 12 ++++++++++++ 1 file changed, 12 insertions(+) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc index d44ab7e7..535641eb 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc @@ -7,7 +7,9 @@ UBOOT_BLOB_NAME ?= "${MACHINE}-fit-dtb${IMAGE_VERSION_SUFFIX}.blob" IMPORT_CC_DTBS ?= "" CC_DTBS_DUP ?= "" +IMPORT_CC_UBOOT_DTBS ?= "" +DEPENDS:append:eval-brd-sc-zynqmp = " uboot-device-tree" MKIMAGE_DTBLOB_OPTS ?= "-E -B 0x8" # Everything is swtiched on with UBOOT_IMAGE_BLOB = '1' @@ -109,6 +111,16 @@ do_blob_generate () { fi done + for CC_DTB in ${IMPORT_CC_UBOOT_DTBS}; do + DTBO=$(echo $CC_DTB | cut -d: -f1) + OUTPUT_DTB=$(echo $CC_DTB | cut -d: -f3) + BASE_SYS_DTB="${RECIPE_SYSROOT}/${DTB_PATH}/${PACKAGE_UBOOT_DTB_NAME}" + bbnote "fdtoverlay -o ${DT_BLOB_DIR}/${OUTPUT_DTB} -i ${BASE_SYS_DTB} ${B}/arch/arm/dts/${DTBO}" + if [ -f ${B}/arch/arm/dts/${DTBO} ]; then + fdtoverlay -o ${DT_BLOB_DIR}/${OUTPUT_DTB} -i ${BASE_SYS_DTB} ${B}/arch/arm/dts/${DTBO} + fi + done + cd ${DT_BLOB_DIR} its_filename="dtblob.its" dtblob_emit_its_section "${its_filename}" "header" -- cgit v1.2.3-54-g00ecf From 889133751130ba25fa04088eb2dae03301853ad6 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Sun, 9 Apr 2023 08:03:00 +0530 Subject: Updated SRCREV of ai-engine-driver for 2023.2_8811 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index faa36026..16cc64fa 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "xlnx_rel_v2023.1" -SRCREV ?= "d38076ebe407cf53f73ab15b9e6947937f0430ae" +SRCREV ?= "4368b65e3ac1ecdc6dc24ae31e01d57cd02cce0d" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From 89ac8235452b4fe9d2dc8d66e03224be8c01a906 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Sun, 9 Apr 2023 08:02:38 +0530 Subject: Updated SRCREV of fsbl-firmware for 2023.2_5575 Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index c4407cb2..25c24fe4 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "2e9f85579e203d76b983ff21c4294a8c3d578942" -ESW_REV[2023.2] = "7addf6855144a06f9fa61771b6c994603889cd95" +ESW_REV[2023.2] = "3deba273b5c03e2257c266d05ef10fed8eab4286" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 9ddd5867d80cd11d792f631364e55c69f38f8d35 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 10 Apr 2023 12:57:15 +0530 Subject: Updated SRCREV of fsbl-firmware for 2023.2_5443 updated for xilsem changelog xilpm: versal_net: server: add power rail checks for LPD domain xilpm: versal_net: server: add power rail checks for FPD domain xilpm: versal_net: server: add power rail checks for pl domain xilpm: versal_net: server: add power rail checks for np domain xilpm: versal_net: server: add power rail checks for cpm domain xilpm: versal_net: server: Update rail status for PMC xilpm: versal_net: server: add support to check and control power rail status xilpm: versal_net: server: Add pmc global and sysmon registers xilpm: server: Remove unnecessary validation of arguments xilpm: versal_commom: server: Add power rail support for Versal NET Updated changelog updated for license and copyright Doxygen fixes - xilpdi Doxygen fixes - xilplmi Doxygen fixes - xilloader Doxygen fixes - versal_plm xilplmi: updated algorithm & return values xilloader: updated algorithm & return values xilpdi: updated algorithm & return values xilpm:versal_net: enable isolation during system reset xilpki: Update examples notes description Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 25c24fe4..6e401591 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "2e9f85579e203d76b983ff21c4294a8c3d578942" -ESW_REV[2023.2] = "3deba273b5c03e2257c266d05ef10fed8eab4286" +ESW_REV[2023.2] = "f905278200ebbd408095de90611923c8314e1d1e" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 0a6187244c9fd7f60464a31d3c9d4131cfb52bc6 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Tue, 11 Apr 2023 12:45:11 +0530 Subject: Updated Commit ID gen_config.py: Enabling ro for EXT4 boot u-boot_bsp.tcl:processor.yaml: Remove config.mk from PetaLinux Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 6c661f10..960e977c 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 6c661f10c6cf3f658ebe8fcab749d09cbdb407fd +Subproject commit 960e977c53ce3e721c055aaa33db131c8424e01e -- cgit v1.2.3-54-g00ecf From e9bb195d55df44472a4f0a9a66c7a33adc54ca84 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Thu, 6 Apr 2023 15:35:20 -0600 Subject: README.building.md: Update instructions on adding layers Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- README.building.md | 23 ++++++++++++++++++++--- 1 file changed, 20 insertions(+), 3 deletions(-) diff --git a/README.building.md b/README.building.md index 0638b75e..8bb866a7 100644 --- a/README.building.md +++ b/README.building.md @@ -22,21 +22,34 @@ $ mkdir sources $ git clone -b https://git.yoctoproject.org/poky.git $ git clone -b https://git.openembedded.org/meta-openembedded.git $ git clone -b https://github.com/Xilinx/meta-xilinx.git +$ git clone -b https://github.com/Xilinx/meta-xilinx-tools.git ``` 3. Initialize a build environment using the `oe-init-build-env` script. ``` $ source poky/oe-init-build-env ``` -4. Once initialized configure `bblayers.conf` add `meta-xilinx` layer as shown - below using `bitbake-layers` command +4. Once initialized configure `bblayers.conf` by adding dependency layers as shown + below using `bitbake-layers` command. +> **Note:** From step 3 by default `meta-yocto-bsp` will be included in bblayers.conf +> file and this can be removed using `$ bitbake-layers add-layer meta-yocto-bsp` +> command. + ``` +$ bitbake-layers add-layer .//meta-openembedded/meta-oe +$ bitbake-layers add-layer .//meta-openembedded/meta-python +$ bitbake-layers add-layer .//meta-openembedded/meta-filesystems +$ bitbake-layers add-layer .//meta-openembedded/meta-networking $ bitbake-layers add-layer .//meta-xilinx/meta-microbalze $ bitbake-layers add-layer .//meta-xilinx/meta-xilinx-core $ bitbake-layers add-layer .//meta-xilinx/meta-xilinx-standalone $ bitbake-layers add-layer .//meta-xilinx/meta-xilinx-bsp $ bitbake-layers add-layer .//meta-xilinx/meta-xilinx-vendor $ bitbake-layers add-layer .//meta-xilinx/meta-xilinx-contrib +$ bitbake-layers add-layer .//meta-xilinx-tools ``` +> **Note:** We recommend using meta-xilinx-tools, the version that is built as +> standalone may not work on many boards as it does not know the board configuration. + 5. Set hardware `MACHINE` configuration variable in /build/conf/local.conf file for a specific target which can boot and run the in the board or QEMU. ``` @@ -75,8 +88,12 @@ Available target machines are: | | vhk158-versal | 6. Build an OS image for the target using `bitbake` command. +> **Note:** Refer .//conf/templates/default/conf-notes.txt +> for available target image-name. e.g. core-image-minimal + ``` -$ bitbake core-image-minimal +$ bitbake ``` + 7. Once complete the images for the target machine will be available in the output directory `${TMPDIR}/deploy/images/${MACHINE}/`. -- cgit v1.2.3-54-g00ecf From 6c76b4d89b5a97f53bda19e526924cc8ed431ae2 Mon Sep 17 00:00:00 2001 From: rbramand Date: Mon, 10 Apr 2023 14:13:45 +0530 Subject: Update xrt and zocl commit id Memory manger issue fixed for xbtest (#7492) (#7494) Fixed CU context related issue. (#7487) (#7493) 2023.1 : Use correct BO handle to export and use the exported bo handle for AIE APIs for GMIO AIE Trace offload (#7491) Signed-off-by: rbramand Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 2a5a649b..19f8f8cd 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -3,6 +3,6 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG}" BRANCH= "2023.1" -SRCREV= "619e4b1238cf68524e4d8f08af0a72277659118a" +SRCREV= "f0c2e397211347dfa1ea92910712d481fe43f662" PV = "202310.2.15.0" -- cgit v1.2.3-54-g00ecf From 2f0788959fd50aa652b46edaaf159802037906cc Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Mon, 10 Apr 2023 15:52:57 +0530 Subject: Updated SRCREV of bootgen for 2023.1_7143 Signed-off-by: Siva Addepalli Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.1.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.1.bb b/meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.1.bb index 02bdf674..a934230d 100644 --- a/meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.1.bb +++ b/meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.1.bb @@ -11,7 +11,7 @@ RDEPENDS:${PN} += "openssl" REPO ?= "git://github.com/Xilinx/bootgen.git;protocol=https" BRANCH = "xlnx_rel_v2023.1" -SRCREV = "76dd7271753eb55750db959d8e54c44f93c6d27c" +SRCREV = "4f1e1caf2c09cdeacc35cbeedaf2550c6e44c7fd" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" -- cgit v1.2.3-54-g00ecf From fa27f3d92416ea301b007b908fce5fb9bcfecc32 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 10 Apr 2023 09:13:17 -0700 Subject: meta_%.bbappend: Avoid contaminating mesa-native PACKAGECONFIG Adding meta-xilinx-core was contaminating the PACKAGECONFIG hash when building mesa-native. Workaround this by only adjusting the value when class-target is defined. Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-graphics/mesa/mesa_%.bbappend | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-graphics/mesa/mesa_%.bbappend b/meta-xilinx-core/recipes-graphics/mesa/mesa_%.bbappend index 1b2230f7..8c0e7898 100644 --- a/meta-xilinx-core/recipes-graphics/mesa/mesa_%.bbappend +++ b/meta-xilinx-core/recipes-graphics/mesa/mesa_%.bbappend @@ -6,7 +6,7 @@ CONFLICT_DISTRO_FEATURES:class-target = "${@bb.utils.contains('MACHINE_FEATURES' # Enable lima if not using libmali PACKAGECONFIG_MALI = "${@bb.utils.contains('DISTRO_FEATURES', 'libmali', '', 'lima', d)}" -PACKAGECONFIG:append = "${@bb.utils.contains('MACHINE_FEATURES', 'mali400', '${PACKAGECONFIG_MALI}', '', d)}" +PACKAGECONFIG:append:class-target = "${@bb.utils.contains('MACHINE_FEATURES', 'mali400', '${PACKAGECONFIG_MALI}', '', d)}" PACKAGE_ARCH_DEFAULT := "${PACKAGE_ARCH}" PACKAGE_ARCH = "${@bb.utils.contains('MACHINE_FEATURES', 'mali400', '${MACHINE_ARCH}', '${PACKAGE_ARCH_DEFAULT}', d)}" -- cgit v1.2.3-54-g00ecf From 77eccb9271495d19674d49edb383576b1a55d837 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 10 Apr 2023 09:13:18 -0700 Subject: meta-xilinx-contrib: Update zybo-linux-bd integrations Disable standard bitstream-extraction, the zybo-linux-bd recipe handles this task. Zybo-linux-bd is used to provide the reference files, but needs to provide the files in the matching locations to other components. Signed-off-by: Mark Hatle --- .../bitstream/bitstream-extraction_%.bbappend | 2 ++ .../recipes-bsp/reference-design/zybo-linux-bd.bb | 21 +++++++++++++-------- 2 files changed, 15 insertions(+), 8 deletions(-) create mode 100644 meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend diff --git a/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend b/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend new file mode 100644 index 00000000..4653c93a --- /dev/null +++ b/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend @@ -0,0 +1,2 @@ +COMPATIBLE_MACHINE:zybo-linux-bd-zynq7 = "^$" + diff --git a/meta-xilinx-contrib/recipes-bsp/reference-design/zybo-linux-bd.bb b/meta-xilinx-contrib/recipes-bsp/reference-design/zybo-linux-bd.bb index 4439f7f9..b54274e4 100644 --- a/meta-xilinx-contrib/recipes-bsp/reference-design/zybo-linux-bd.bb +++ b/meta-xilinx-contrib/recipes-bsp/reference-design/zybo-linux-bd.bb @@ -29,38 +29,43 @@ PROVIDES = "virtual/bitstream virtual/xilinx-platform-init" FILES:${PN}-platform-init += "${PLATFORM_INIT_DIR}/*" FILES:${PN}-bitstream += " \ - download.bit \ + /boot/bitstream \ " PACKAGES = "${PN}-platform-init ${PN}-bitstream" -BITSTREAM ?= "bitstream-${PV}-${PR}.bit" +inherit image-artifact-names + +BITSTREAM_NAME ?= "download" +BITSTREAM_BASE_NAME ?= "${BITSTREAM_NAME}-${MACHINE}${IMAGE_VERSION_SUFFIX}" PACKAGE_ARCH = "${MACHINE_ARCH}" inherit xilinx-platform-init inherit deploy -SYSROOT_DIRS += "${PLATFORM_INIT_DIR}" +SYSROOT_DIRS += "${PLATFORM_INIT_DIR} /boot/bitstream" do_install() { fn=$(unzip -l ${S}/${HDF} | awk '{print $NF}' | grep ".bit$") unzip -o ${S}/${HDF} ${fn} -d ${D} - [ "${fn}" == "download.bit" ] || mv ${D}/${fn} ${D}/download.bit + install -d ${D}/boot/bitstream + mv ${D}/*.bit ${D}/boot/bitstream/${BITSTREAM_BASE_NAME}.bit + ln -s ${BITSTREAM_BASE_NAME}.bit ${D}/boot/bitstream/${BITSTREAM_NAME}-${MACHINE}.bit install -d ${D}${PLATFORM_INIT_DIR} for fn in ${PLATFORM_INIT_FILES}; do unzip -o ${S}/${HDF} ${fn} -d ${D}${PLATFORM_INIT_DIR} done + + } do_deploy () { if [ -e ${D}/download.bit ]; then install -d ${DEPLOYDIR} - install -m 0644 ${D}/download.bit ${DEPLOYDIR}/${BITSTREAM} - ln -sf ${BITSTREAM} ${DEPLOYDIR}/download.bit - # for u-boot 2016.3 with spl load bitstream patch - ln -sf ${BITSTREAM} ${DEPLOYDIR}/bitstream + install -m 0644 ${D}/download.bit ${DEPLOYDIR}/${BITSTREAM_BASE_NAME}.bit + ln -sf ${BITSTREAM_BASE_NAME}.bit ${DEPLOYDIR}/${BITSTREAM_NAME}-${MACHINE}.bit fi } addtask deploy before do_build after do_install -- cgit v1.2.3-54-g00ecf From 1c220c28889e39fbb09e26f1feb459fea41c00b5 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 10 Apr 2023 09:13:19 -0700 Subject: meta-xilinx-bsp: kc705-microblazeel: YAML_DT_BOARD_FLAGS for kc705-full Signed-off-by: Mark Hatle --- meta-xilinx-bsp/conf/machine/kc705-microblazeel.conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-bsp/conf/machine/kc705-microblazeel.conf b/meta-xilinx-bsp/conf/machine/kc705-microblazeel.conf index d312c07f..f24d0356 100644 --- a/meta-xilinx-bsp/conf/machine/kc705-microblazeel.conf +++ b/meta-xilinx-bsp/conf/machine/kc705-microblazeel.conf @@ -16,7 +16,7 @@ YAML_MAIN_MEMORY_CONFIG:pn-device-tree ?= "MIG_7SERIES_0" DT_PADDING_SIZE:pn-device-tree ?= "0x1000" DTC_FLAGS:pn-device-tree ?= "" XSCTH_PROC:microblaze:pn-device-tree ?= "microblaze_0" -YAML_DT_BOARD_FLAGS ?= "{BOARD template}" +YAML_DT_BOARD_FLAGS ?= "{BOARD kc705-full}" # Yocto FS-Boot variables YAML_SERIAL_CONSOLE_STDIN:pn-fs-boot ?= "axi_uartlite_0" -- cgit v1.2.3-54-g00ecf From 9c0435a83ecf66cddb4b05c8afbfa0ef2544add7 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 10 Apr 2023 09:13:20 -0700 Subject: meta-xilinx-contrib: linux-firmware: Update protocol to https github.com no longer supports git protocol, enable https protocol Signed-off-by: Mark Hatle --- .../recipes-kernel/linux-firmware/linux-firmware_%.bbappend | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/meta-xilinx-contrib/recipes-kernel/linux-firmware/linux-firmware_%.bbappend b/meta-xilinx-contrib/recipes-kernel/linux-firmware/linux-firmware_%.bbappend index e3072cbc..99793df2 100644 --- a/meta-xilinx-contrib/recipes-kernel/linux-firmware/linux-firmware_%.bbappend +++ b/meta-xilinx-contrib/recipes-kernel/linux-firmware/linux-firmware_%.bbappend @@ -1,8 +1,8 @@ SRC_URI:append:minized-zynq7 = " \ - git://github.com/murata-wireless/cyw-fmac-nvram;protocol=git;branch=orga;destsuffix=cyw-fmac-nvram;name=cyw-fmac-nvram \ - git://github.com/murata-wireless/cyw-bt-patch;protocol=git;branch=morty-orga;destsuffix=cyw-bt-patch;name=cyw-bt-patch \ - git://github.com/murata-wireless/cyw-fmac-utils-imx32;protocol=git;branch=orga;destsuffix=cyw-fmac-utils-imx32;name=cyw-fmac-utils-imx32 \ + git://github.com/murata-wireless/cyw-fmac-nvram;protocol=git;branch=orga;destsuffix=cyw-fmac-nvram;name=cyw-fmac-nvram;protocol=https \ + git://github.com/murata-wireless/cyw-bt-patch;protocol=git;branch=morty-orga;destsuffix=cyw-bt-patch;name=cyw-bt-patch;protocol=https \ + git://github.com/murata-wireless/cyw-fmac-utils-imx32;protocol=git;branch=orga;destsuffix=cyw-fmac-utils-imx32;name=cyw-fmac-utils-imx32;protocol=https \ " SRCREV_cyw-fmac-nvram = "d12c2ac1b93941eaa03063bb7cb3c1ee1aa1b7d0" -- cgit v1.2.3-54-g00ecf From fd2a9248827b83e07f3b5cd109399cfde4f91e3b Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 10 Apr 2023 09:13:23 -0700 Subject: systemd: rebase microblaze patches Rebase/rework microblaze patches for the latest systemd version Signed-off-by: Mark Hatle --- ...e-Add-Microblaze-architecture-to-systemd-.patch | 81 +++++++++------- .../files/microblaze-disable-stack-protector.patch | 20 ++-- .../systemd/files/microblaze-once-macro.patch | 24 ++--- .../systemd/files/microblaze-syscalls.patch | 107 ++++++++++----------- 4 files changed, 121 insertions(+), 111 deletions(-) diff --git a/meta-microblaze/recipes-core/systemd/files/0001-architecture-Add-Microblaze-architecture-to-systemd-.patch b/meta-microblaze/recipes-core/systemd/files/0001-architecture-Add-Microblaze-architecture-to-systemd-.patch index 8b4f6dc8..a29214d5 100644 --- a/meta-microblaze/recipes-core/systemd/files/0001-architecture-Add-Microblaze-architecture-to-systemd-.patch +++ b/meta-microblaze/recipes-core/systemd/files/0001-architecture-Add-Microblaze-architecture-to-systemd-.patch @@ -4,54 +4,65 @@ Date: Sun, 11 Apr 2021 20:04:06 -0700 Subject: [PATCH] Add systemd support for microblaze architecture Signed-off-by: Sai Hari Chandana Kalluri + +Applied to current version of systemd +Reworked to split microblaze and microblazeel + +Signed-off-by: Mark Hatle --- src/basic/architecture.c | 3 +++ src/basic/architecture.h | 4 ++++ 2 files changed, 7 insertions(+) -diff --git a/src/basic/architecture.c b/src/basic/architecture.c -index 85837b5..dbcefbc 100644 ---- a/src/basic/architecture.c -+++ b/src/basic/architecture.c -@@ -54,6 +54,8 @@ int uname_architecture(void) { - { "mips", ARCHITECTURE_MIPS }, +Index: git/src/basic/architecture.c +=================================================================== +--- git.orig/src/basic/architecture.c ++++ git/src/basic/architecture.c +@@ -49,6 +49,10 @@ Architecture uname_architecture(void) { #elif defined(__alpha__) { "alpha" , ARCHITECTURE_ALPHA }, -+#elif defined(__microblaze__) -+ { "microblaze" , ARCHITECTURE_MICROBLAZE }, - #elif defined(__arm__) || defined(__aarch64__) - { "aarch64", ARCHITECTURE_ARM64 }, - { "aarch64_be", ARCHITECTURE_ARM64_BE }, -@@ -173,6 +175,7 @@ static const char *const architecture_table[_ARCHITECTURE_MAX] = { - [ARCHITECTURE_RISCV64] = "riscv64", - [ARCHITECTURE_ARC] = "arc", - [ARCHITECTURE_ARC_BE] = "arc-be", -+ [ARCHITECTURE_MICROBLAZE] = "microblaze", - }; - DEFINE_STRING_TABLE_LOOKUP(architecture, int); -diff --git a/src/basic/architecture.h b/src/basic/architecture.h -index 443e890..559ada9 100644 ---- a/src/basic/architecture.h -+++ b/src/basic/architecture.h -@@ -42,6 +42,7 @@ enum { - ARCHITECTURE_NIOS2, - ARCHITECTURE_RISCV32, - ARCHITECTURE_RISCV64, ++#elif defined(__microblaze__) ++ { "microblaze", ARCHITECTURE_MICROBLAZE }, ++ { "microblazeel", ARCHITECTURE_MICROBLAZE_LE }, ++ + #elif defined(__arc__) + { "arc", ARCHITECTURE_ARC }, + { "arceb", ARCHITECTURE_ARC_BE }, +@@ -147,6 +151,8 @@ static const char *const architecture_ta + [ARCHITECTURE_ALPHA] = "alpha", + [ARCHITECTURE_ARC] = "arc", + [ARCHITECTURE_ARC_BE] = "arc-be", ++ [ARCHITECTURE_MICROBLAZE] = "microblaze", ++ [ARCHITECTURE_MICROBLAZE_LE] = "microblazeel", + [ARCHITECTURE_CRIS] = "cris", + [ARCHITECTURE_X86_64] = "x86-64", + [ARCHITECTURE_X86] = "x86", +Index: git/src/basic/architecture.h +=================================================================== +--- git.orig/src/basic/architecture.h ++++ git/src/basic/architecture.h +@@ -22,6 +22,8 @@ typedef enum { + ARCHITECTURE_IA64, + ARCHITECTURE_LOONGARCH64, + ARCHITECTURE_M68K, + ARCHITECTURE_MICROBLAZE, - ARCHITECTURE_ARC, - ARCHITECTURE_ARC_BE, - _ARCHITECTURE_MAX, -@@ -229,6 +230,9 @@ int uname_architecture(void); ++ ARCHITECTURE_MICROBLAZE_LE, + ARCHITECTURE_MIPS, + ARCHITECTURE_MIPS64, + ARCHITECTURE_MIPS64_LE, +@@ -239,6 +241,14 @@ Architecture uname_architecture(void); # define native_architecture() ARCHITECTURE_ARC # define LIB_ARCH_TUPLE "arc-linux" # endif +#elif defined(__microblaze__) -+# define native_architecture() ARCHITECTURE_MICROBLAZE -+# define LIB_ARCH_TUPLE "microblazeel-xilinx-linux" ++# if __BYTE_ORDER == __BIG_ENDIAN ++# define native_architecture() ARCHITECTURE_MICROBLAZE ++# define LIB_ARCH_TUPLE "microblaze-linux" ++# else ++# define native_architecture() ARCHITECTURE_MICROBLAZE_LE ++# define LIB_ARCH_TUPLE "microblazeel-linux" ++# endif #else # error "Please register your architecture here!" #endif --- -2.7.4 - diff --git a/meta-microblaze/recipes-core/systemd/files/microblaze-disable-stack-protector.patch b/meta-microblaze/recipes-core/systemd/files/microblaze-disable-stack-protector.patch index 535f864a..ecbf1f79 100644 --- a/meta-microblaze/recipes-core/systemd/files/microblaze-disable-stack-protector.patch +++ b/meta-microblaze/recipes-core/systemd/files/microblaze-disable-stack-protector.patch @@ -5,20 +5,20 @@ Microblaze does not support stack-protector: Signed-off-by: Mark Hatle -diff --git a/meson.build b/meson.build -index 738879eb21..06ea3e389a 100644 ---- a/meson.build -+++ b/meson.build -@@ -371,7 +371,6 @@ endif - possible_link_flags = [ - '-Wl,-z,relro', +Index: git/meson.build +=================================================================== +--- git.orig/meson.build ++++ git/meson.build +@@ -403,7 +403,6 @@ possible_link_flags = [ + '-Wl,--fatal-warnings', '-Wl,-z,now', + '-Wl,-z,relro', - '-fstack-protector', ] - if cc.get_id() == 'clang' -@@ -388,8 +387,6 @@ possible_cc_flags = possible_common_cc_flags + [ - '-ffast-math', + if get_option('b_sanitize') == 'none' +@@ -423,8 +422,6 @@ possible_cc_flags = possible_common_cc_f + '-fdiagnostics-show-option', '-fno-common', '-fno-strict-aliasing', - '-fstack-protector', diff --git a/meta-microblaze/recipes-core/systemd/files/microblaze-once-macro.patch b/meta-microblaze/recipes-core/systemd/files/microblaze-once-macro.patch index ae43692a..8cb618ec 100644 --- a/meta-microblaze/recipes-core/systemd/files/microblaze-once-macro.patch +++ b/meta-microblaze/recipes-core/systemd/files/microblaze-once-macro.patch @@ -9,19 +9,19 @@ worst expected behavior could be multiple log messages. Signed-off-by: Mark Hatle -diff --git a/src/fundamental/macro-fundamental.h b/src/fundamental/macro-fundamental.h -index 967518600d..44785e516b 100644 ---- a/src/fundamental/macro-fundamental.h -+++ b/src/fundamental/macro-fundamental.h -@@ -55,11 +55,28 @@ +Index: git/src/fundamental/macro-fundamental.h +=================================================================== +--- git.orig/src/fundamental/macro-fundamental.h ++++ git/src/fundamental/macro-fundamental.h +@@ -109,11 +109,28 @@ * on this macro will run concurrently to all other code conditionalized * the same way, there's no ordering or completion enforced. */ #define ONCE __ONCE(UNIQ_T(_once_, UNIQ)) +#if !defined (__microblaze__) #define __ONCE(o) \ ({ \ - static bool (o) = false; \ - __sync_bool_compare_and_swap(&(o), false, true); \ + static sd_bool (o) = sd_false; \ + __sync_bool_compare_and_swap(&(o), sd_false, sd_true); \ }) +#else + /* Microblaze does not contain __sync_bool_compare_and_swap, so we do it @@ -30,11 +30,11 @@ index 967518600d..44785e516b 100644 + * an issue. */ +#define __ONCE(o) \ + ({ \ -+ static bool (o) = false; \ -+ bool rc = false; \ -+ if ((o) == false) { \ -+ (o) = true; \ -+ rc = true; \ ++ static bool (o) = sd_false; \ ++ bool rc = sd_false; \ ++ if ((o) == sd_false) { \ ++ (o) = sd_true; \ ++ rc = sd_true; \ + } \ + rc; \ + }) diff --git a/meta-microblaze/recipes-core/systemd/files/microblaze-syscalls.patch b/meta-microblaze/recipes-core/systemd/files/microblaze-syscalls.patch index 9a1a8bd2..3bf75d32 100644 --- a/meta-microblaze/recipes-core/systemd/files/microblaze-syscalls.patch +++ b/meta-microblaze/recipes-core/systemd/files/microblaze-syscalls.patch @@ -2,32 +2,32 @@ Add microblaze syscalls to systemd Signed-off-by: Mark Hatle -diff --git a/src/basic/meson.build b/src/basic/meson.build -index 9b016ce5e8..a896e3c464 100644 ---- a/src/basic/meson.build -+++ b/src/basic/meson.build -@@ -332,6 +332,7 @@ arch_list = [ - 'i386', +Index: git/src/basic/meson.build +=================================================================== +--- git.orig/src/basic/meson.build ++++ git/src/basic/meson.build +@@ -347,6 +347,7 @@ arch_list = [ 'ia64', + 'loongarch64', 'm68k', + 'microblaze', 'mips64', 'mips64n32', 'mipso32', -diff --git a/src/basic/missing_syscall_def.h b/src/basic/missing_syscall_def.h -index 6a48c2a0c5..ed7df7c07d 100644 ---- a/src/basic/missing_syscall_def.h -+++ b/src/basic/missing_syscall_def.h -@@ -14,6 +14,7 @@ - # elif defined(__i386__) +Index: git/src/basic/missing_syscall_def.h +=================================================================== +--- git.orig/src/basic/missing_syscall_def.h ++++ git/src/basic/missing_syscall_def.h +@@ -16,6 +16,7 @@ # elif defined(__ia64__) + # elif defined(__loongarch64) # elif defined(__m68k__) +# elif defined(__microblaze__) # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # elif _MIPS_SIM == _MIPS_SIM_NABI32 -@@ -54,6 +55,8 @@ - # define systemd_NR_bpf 1341 +@@ -58,6 +59,8 @@ + # define systemd_NR_bpf 280 # elif defined(__m68k__) # define systemd_NR_bpf 354 +# elif defined(__microblaze__) @@ -35,8 +35,8 @@ index 6a48c2a0c5..ed7df7c07d 100644 # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # define systemd_NR_bpf 4355 -@@ -118,6 +121,8 @@ assert_cc(__NR_bpf == systemd_NR_bpf); - # define systemd_NR_close_range 1460 +@@ -124,6 +127,8 @@ assert_cc(__NR_bpf == systemd_NR_bpf); + # define systemd_NR_close_range 436 # elif defined(__m68k__) # define systemd_NR_close_range 436 +# elif defined(__microblaze__) @@ -44,8 +44,8 @@ index 6a48c2a0c5..ed7df7c07d 100644 # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # define systemd_NR_close_range 4436 -@@ -182,6 +187,8 @@ assert_cc(__NR_close_range == systemd_NR_close_range); - # define systemd_NR_copy_file_range 1347 +@@ -190,6 +195,8 @@ assert_cc(__NR_close_range == systemd_NR + # define systemd_NR_copy_file_range 285 # elif defined(__m68k__) # define systemd_NR_copy_file_range 376 +# elif defined(__microblaze__) @@ -53,8 +53,8 @@ index 6a48c2a0c5..ed7df7c07d 100644 # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # define systemd_NR_copy_file_range 4360 -@@ -246,6 +253,8 @@ assert_cc(__NR_copy_file_range == systemd_NR_copy_file_range); - # define systemd_NR_epoll_pwait2 1465 +@@ -256,6 +263,8 @@ assert_cc(__NR_copy_file_range == system + # define systemd_NR_epoll_pwait2 441 # elif defined(__m68k__) # define systemd_NR_epoll_pwait2 441 +# elif defined(__microblaze__) @@ -62,8 +62,8 @@ index 6a48c2a0c5..ed7df7c07d 100644 # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # define systemd_NR_epoll_pwait2 4441 -@@ -310,6 +319,8 @@ assert_cc(__NR_epoll_pwait2 == systemd_NR_epoll_pwait2); - # define systemd_NR_getrandom 1339 +@@ -322,6 +331,8 @@ assert_cc(__NR_epoll_pwait2 == systemd_N + # define systemd_NR_getrandom 278 # elif defined(__m68k__) # define systemd_NR_getrandom 352 +# elif defined(__microblaze__) @@ -71,8 +71,8 @@ index 6a48c2a0c5..ed7df7c07d 100644 # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # define systemd_NR_getrandom 4353 -@@ -374,6 +385,8 @@ assert_cc(__NR_getrandom == systemd_NR_getrandom); - # define systemd_NR_memfd_create 1340 +@@ -388,6 +399,8 @@ assert_cc(__NR_getrandom == systemd_NR_g + # define systemd_NR_memfd_create 279 # elif defined(__m68k__) # define systemd_NR_memfd_create 353 +# elif defined(__microblaze__) @@ -80,8 +80,8 @@ index 6a48c2a0c5..ed7df7c07d 100644 # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # define systemd_NR_memfd_create 4354 -@@ -438,6 +451,8 @@ assert_cc(__NR_memfd_create == systemd_NR_memfd_create); - # define systemd_NR_mount_setattr 1466 +@@ -454,6 +467,8 @@ assert_cc(__NR_memfd_create == systemd_N + # define systemd_NR_mount_setattr 442 # elif defined(__m68k__) # define systemd_NR_mount_setattr 442 +# elif defined(__microblaze__) @@ -89,8 +89,8 @@ index 6a48c2a0c5..ed7df7c07d 100644 # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # define systemd_NR_mount_setattr 4442 -@@ -502,6 +517,8 @@ assert_cc(__NR_mount_setattr == systemd_NR_mount_setattr); - # define systemd_NR_move_mount 1453 +@@ -520,6 +535,8 @@ assert_cc(__NR_mount_setattr == systemd_ + # define systemd_NR_move_mount 429 # elif defined(__m68k__) # define systemd_NR_move_mount 429 +# elif defined(__microblaze__) @@ -98,8 +98,8 @@ index 6a48c2a0c5..ed7df7c07d 100644 # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # define systemd_NR_move_mount 4429 -@@ -566,6 +583,8 @@ assert_cc(__NR_move_mount == systemd_NR_move_mount); - # define systemd_NR_name_to_handle_at 1326 +@@ -586,6 +603,8 @@ assert_cc(__NR_move_mount == systemd_NR_ + # define systemd_NR_name_to_handle_at 264 # elif defined(__m68k__) # define systemd_NR_name_to_handle_at 340 +# elif defined(__microblaze__) @@ -107,8 +107,8 @@ index 6a48c2a0c5..ed7df7c07d 100644 # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # define systemd_NR_name_to_handle_at 4339 -@@ -630,6 +649,8 @@ assert_cc(__NR_name_to_handle_at == systemd_NR_name_to_handle_at); - # define systemd_NR_open_tree 1452 +@@ -652,6 +671,8 @@ assert_cc(__NR_name_to_handle_at == syst + # define systemd_NR_open_tree 428 # elif defined(__m68k__) # define systemd_NR_open_tree 428 +# elif defined(__microblaze__) @@ -116,8 +116,8 @@ index 6a48c2a0c5..ed7df7c07d 100644 # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # define systemd_NR_open_tree 4428 -@@ -694,6 +715,8 @@ assert_cc(__NR_open_tree == systemd_NR_open_tree); - # define systemd_NR_pidfd_open 1458 +@@ -784,6 +805,8 @@ assert_cc(__NR_openat2 == systemd_NR_ope + # define systemd_NR_pidfd_open 434 # elif defined(__m68k__) # define systemd_NR_pidfd_open 434 +# elif defined(__microblaze__) @@ -125,8 +125,8 @@ index 6a48c2a0c5..ed7df7c07d 100644 # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # define systemd_NR_pidfd_open 4434 -@@ -758,6 +781,8 @@ assert_cc(__NR_pidfd_open == systemd_NR_pidfd_open); - # define systemd_NR_pidfd_send_signal 1448 +@@ -850,6 +873,8 @@ assert_cc(__NR_pidfd_open == systemd_NR_ + # define systemd_NR_pidfd_send_signal 424 # elif defined(__m68k__) # define systemd_NR_pidfd_send_signal 424 +# elif defined(__microblaze__) @@ -134,8 +134,8 @@ index 6a48c2a0c5..ed7df7c07d 100644 # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # define systemd_NR_pidfd_send_signal 4424 -@@ -822,6 +847,8 @@ assert_cc(__NR_pidfd_send_signal == systemd_NR_pidfd_send_signal); - # define systemd_NR_pkey_mprotect 1354 +@@ -916,6 +941,8 @@ assert_cc(__NR_pidfd_send_signal == syst + # define systemd_NR_pkey_mprotect 288 # elif defined(__m68k__) # define systemd_NR_pkey_mprotect 381 +# elif defined(__microblaze__) @@ -143,8 +143,8 @@ index 6a48c2a0c5..ed7df7c07d 100644 # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # define systemd_NR_pkey_mprotect 4363 -@@ -886,6 +913,8 @@ assert_cc(__NR_pkey_mprotect == systemd_NR_pkey_mprotect); - # define systemd_NR_renameat2 1338 +@@ -982,6 +1009,8 @@ assert_cc(__NR_pkey_mprotect == systemd_ + # define systemd_NR_renameat2 276 # elif defined(__m68k__) # define systemd_NR_renameat2 351 +# elif defined(__microblaze__) @@ -152,8 +152,8 @@ index 6a48c2a0c5..ed7df7c07d 100644 # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # define systemd_NR_renameat2 4351 -@@ -950,6 +979,8 @@ assert_cc(__NR_renameat2 == systemd_NR_renameat2); - # define systemd_NR_setns 1330 +@@ -1048,6 +1077,8 @@ assert_cc(__NR_renameat2 == systemd_NR_r + # define systemd_NR_setns 268 # elif defined(__m68k__) # define systemd_NR_setns 344 +# elif defined(__microblaze__) @@ -161,8 +161,8 @@ index 6a48c2a0c5..ed7df7c07d 100644 # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # define systemd_NR_setns 4344 -@@ -1014,6 +1045,8 @@ assert_cc(__NR_setns == systemd_NR_setns); - # define systemd_NR_statx 1350 +@@ -1114,6 +1145,8 @@ assert_cc(__NR_setns == systemd_NR_setns + # define systemd_NR_statx 291 # elif defined(__m68k__) # define systemd_NR_statx 379 +# elif defined(__microblaze__) @@ -170,12 +170,12 @@ index 6a48c2a0c5..ed7df7c07d 100644 # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # define systemd_NR_statx 4366 -diff --git a/src/basic/missing_syscalls.py b/src/basic/missing_syscalls.py -index 19f9726d4e..66fd7615b4 100644 ---- a/src/basic/missing_syscalls.py -+++ b/src/basic/missing_syscalls.py -@@ -61,6 +61,8 @@ DEF_TEMPLATE_B = '''\ - # define systemd_NR_{syscall} {nr_ia64} +Index: git/src/basic/missing_syscalls.py +=================================================================== +--- git.orig/src/basic/missing_syscalls.py ++++ git/src/basic/missing_syscalls.py +@@ -64,6 +64,8 @@ DEF_TEMPLATE_B = '''\ + # define systemd_NR_{syscall} {nr_loongarch64} # elif defined(__m68k__) # define systemd_NR_{syscall} {nr_m68k} +# elif defined(__microblaze__) @@ -183,11 +183,10 @@ index 19f9726d4e..66fd7615b4 100644 # elif defined(_MIPS_SIM) # if _MIPS_SIM == _MIPS_SIM_ABI32 # define systemd_NR_{syscall} {nr_mipso32} -diff --git a/src/basic/syscalls-microblaze.txt b/src/basic/syscalls-microblaze.txt -new file mode 100644 -index 0000000000..3fc4cd6aef +Index: git/src/basic/syscalls-microblaze.txt +=================================================================== --- /dev/null -+++ b/src/basic/syscalls-microblaze.txt ++++ git/src/basic/syscalls-microblaze.txt @@ -0,0 +1,598 @@ +_llseek 140 +_newselect 142 -- cgit v1.2.3-54-g00ecf From 467c544c295f1e9b1891e41e353cee821107cf46 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 10 Apr 2023 09:13:24 -0700 Subject: linux-xlnx: Add special systemd configuration for microblaze While systemd is not recommended for use on microblaze, it does work. However, additional configuration items are requried in this case. So enable the minimum options, as defined from the systemd README file. Signed-off-by: Mark Hatle --- .../recipes-kernel/linux/linux-microblaze.inc | 2 + .../microblaze-systemd/microblaze-systemd.cfg | 45 ++++++++++++++++++++++ .../microblaze-systemd/microblaze-systemd.scc | 6 +++ 3 files changed, 53 insertions(+) create mode 100644 meta-xilinx-core/recipes-kernel/linux/linux-xlnx/linux-xlnx-kmeta/features/microblaze-systemd/microblaze-systemd.cfg create mode 100644 meta-xilinx-core/recipes-kernel/linux/linux-xlnx/linux-xlnx-kmeta/features/microblaze-systemd/microblaze-systemd.scc diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-microblaze.inc b/meta-xilinx-core/recipes-kernel/linux/linux-microblaze.inc index a24fd93c..a2f15adb 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-microblaze.inc +++ b/meta-xilinx-core/recipes-kernel/linux/linux-microblaze.inc @@ -2,6 +2,8 @@ SRC_URI += " \ file://microblaze_generic.cfg \ " +KERNEL_FEATURES:append = "${@bb.utils.contains('DISTRO_FEATURES', 'systemd', ' features/microblaze-systemd/microblaze-systemd.scc', '', d)}" + # MicroBlaze is a uImage target, but its not called 'uImage' instead it is called 'linux.bin.ub' python () { if d.getVar('KERNEL_IMAGETYPE', True).endswith('.ub'): diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx/linux-xlnx-kmeta/features/microblaze-systemd/microblaze-systemd.cfg b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx/linux-xlnx-kmeta/features/microblaze-systemd/microblaze-systemd.cfg new file mode 100644 index 00000000..0aebbf91 --- /dev/null +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx/linux-xlnx-kmeta/features/microblaze-systemd/microblaze-systemd.cfg @@ -0,0 +1,45 @@ +# SPDX-License-Identifier: MIT + +#........................................................................ +#WARNING +# +# This file is a kernel configuration fragment, and not a full kernel +# configuration file. The final kernel configuration is made up of +# an assembly of processed fragments, each of which is designed to +# capture a specific part of the final configuration (e.g. platform +# configuration, feature configuration, and board specific hardware +# configuration). For more information on kernel configuration, please +# refer the product documentation. +# +#........................................................................ + +# Based on the systemd README file kernel requirements +# Many of these are not enabled on microblaze by default to conserve +# memory. + +# Kernel Config Options +CONFIG_DEVTMPFS=y +CONFIG_CGROUPS=y +CONFIG_INOTIFY_USER=y +CONFIG_SIGNALFD=y +CONFIG_TIMERFD=y +CONFIG_EPOLL=y +CONFIG_UNIX=y +CONFIG_SYSFS=y +CONFIG_PROC_FS=y +CONFIG_FHANDLE=y + +# Kernel crypto/hash API +CONFIG_CRYPTO_USER_API_HASH=y +CONFIG_CRYPTO_HMAC=y +CONFIG_CRYPTO_SHA256=y + +# udev will fail to work with the legacy sysfs layout: +# CONFIG_SYSFS_DEPRECATED is not set + +# Legacy hotplug slows down the system and confuses udev: +CONFIG_UEVENT_HELPER_PATH="" + +#Userspace firmware loading is not supported and should be disabled in +#the kernel: +# CONFIG_FW_LOADER_USER_HELPER is not set diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx/linux-xlnx-kmeta/features/microblaze-systemd/microblaze-systemd.scc b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx/linux-xlnx-kmeta/features/microblaze-systemd/microblaze-systemd.scc new file mode 100644 index 00000000..2a38f446 --- /dev/null +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx/linux-xlnx-kmeta/features/microblaze-systemd/microblaze-systemd.scc @@ -0,0 +1,6 @@ +# SPDX-License-Identifier: MIT +define KFEATURE_DESCRIPTION "Enable systemd on microblaze systems" +define KFEATURE_COMPATIBILITY all + +kconf non-hardware microblaze-systemd.cfg + -- cgit v1.2.3-54-g00ecf From a21f1c537b1df82cc6a3f524a2cc3ecbb45fb8b3 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 10 Apr 2023 09:13:25 -0700 Subject: meta-microblaze: packagegroup-core-tools-testapps: Disable packages Disable items that don't work on microblaze Signed-off-by: Mark Hatle --- .../packagegroups/packagegroup-core-tools-testapps.bbappend | 9 +++++++++ 1 file changed, 9 insertions(+) diff --git a/meta-microblaze/recipes-core/packagegroups/packagegroup-core-tools-testapps.bbappend b/meta-microblaze/recipes-core/packagegroups/packagegroup-core-tools-testapps.bbappend index ef22ca84..27001c4a 100644 --- a/meta-microblaze/recipes-core/packagegroups/packagegroup-core-tools-testapps.bbappend +++ b/meta-microblaze/recipes-core/packagegroups/packagegroup-core-tools-testapps.bbappend @@ -1,2 +1,11 @@ GOTOOLS:microblaze ?= "" RUSTTOOLS:microblaze ?= "" +GSTEXAMPLES:microblaze ?= "" +X11GLTOOLS:microblaze ?= "" +3GTOOLS:microblaze ?= "" + +RDEPENDS:${PN}:remove:microblaze = "\ +connman-tools \ +connman-tests \ +connman-client \ +" -- cgit v1.2.3-54-g00ecf From 8b04c8844436cd0d19aa232d7cff20a593346957 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 10 Apr 2023 09:13:26 -0700 Subject: xrt: Move aie config libmetal dependency to dynamic layer Signed-off-by: Mark Hatle --- .../openamp-layer/recipes-xrt/xrt_git.bbappend | 14 ++++++++++++++ meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb | 16 +++------------- 2 files changed, 17 insertions(+), 13 deletions(-) create mode 100644 meta-xilinx-core/dynamic-layers/openamp-layer/recipes-xrt/xrt_git.bbappend diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-xrt/xrt_git.bbappend b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-xrt/xrt_git.bbappend new file mode 100644 index 00000000..2b96f152 --- /dev/null +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-xrt/xrt_git.bbappend @@ -0,0 +1,14 @@ +# Use libmetal for systems with AIE +# For vck190 kind of devices +PACKAGE_ARCH:versal-ai-core = "${SOC_VARIANT_ARCH}" +EXTRA_OECMAKE:append:versal-ai-core = " -DXRT_AIE_BUILD=true" +TARGET_CXXFLAGS:append:versal-ai-core = " -DXRT_ENABLE_AIE" +DEPENDS:append:versal-ai-core = " libmetal libxaiengine aiefal" +RDEPENDS:${PN}:append:versal-ai-core = " libxaiengine aiefal" + +# For vek280 kind of devices +PACKAGE_ARCH:versal-ai-edge = "${SOC_VARIANT_ARCH}" +EXTRA_OECMAKE:append:versal-ai-edge = " -DXRT_AIE_BUILD=true" +TARGET_CXXFLAGS:append:versal-ai-edge = " -DXRT_ENABLE_AIE" +DEPENDS:append:versal-ai-edge = " libmetal libxaiengine aiefal" +RDEPENDS:${PN}:append:versal-ai-edge = " libxaiengine aiefal" diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb b/meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb index 4b16fee6..ae786884 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb @@ -29,19 +29,9 @@ EXTRA_OECMAKE += " \ -DCMAKE_EXPORT_COMPILE_COMANDS=ON \ " -# For vck190 kind of devices -PACKAGE_ARCH:versal-ai-core = "${SOC_VARIANT_ARCH}" -EXTRA_OECMAKE:append:versal-ai-core = " -DXRT_AIE_BUILD=true" -TARGET_CXXFLAGS:append:versal-ai-core = " -DXRT_ENABLE_AIE" -DEPENDS:append:versal-ai-core = " libmetal libxaiengine aiefal" -RDEPENDS:${PN}:append:versal-ai-core = " libxaiengine aiefal" - -# For vek280 kind of devices -PACKAGE_ARCH:versal-ai-edge = "${SOC_VARIANT_ARCH}" -EXTRA_OECMAKE:append:versal-ai-edge = " -DXRT_AIE_BUILD=true" -TARGET_CXXFLAGS:append:versal-ai-edge = " -DXRT_ENABLE_AIE" -DEPENDS:append:versal-ai-edge = " libmetal libxaiengine aiefal" -RDEPENDS:${PN}:append:versal-ai-edge = " libxaiengine aiefal" +# Systems with AIE also require libmetal, this is implemented in the dynamic-layers +# See: meta-xilinx-core/dynamic-layers/openamp-layer/recipes-xrt/xrt_gt.bbappend +# Note: If meta-openamp is not available, AIE will not be enabled. EXTRA_OECMAKE:append:versal = " -DXRT_LIBDFX=true" EXTRA_OECMAKE:append:zynqmp = " -DXRT_LIBDFX=true" -- cgit v1.2.3-54-g00ecf From 9124f8e0db8205f8667e7048f9d51d4b20c2153f Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 11 Apr 2023 20:32:12 +0530 Subject: Updated SRCREV of linux-xlnx for 2023.2_9795 mtd: spi-nor: Fix Flash protection failure on ISSI 1G flash parts Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index d47fc10c..3db09378 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.5" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "421f19b8bd9659dbbfea3d9252c93633de642134" +SRCREV = "39d8eba78d4806607f72cf1ca3fbeb5ec8a9ed0b" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From bfb7a67e7ded095a246b1e27142d2d8eb0531dab Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 11 Apr 2023 20:30:09 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_9251 sdps: Fix doxygen warnings freertos10_xilinx: ARM_CR5: Use group 1 interrupts for CortexR52 scugic: Use IRQ for CortexR52 interrupts by default Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 6e401591..c38d02f3 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "2e9f85579e203d76b983ff21c4294a8c3d578942" -ESW_REV[2023.2] = "f905278200ebbd408095de90611923c8314e1d1e" +ESW_REV[2023.2] = "6696e1ceced046865acc9609a3fbb807cc20e6be" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From b7faca8b6b82d76e55b48f9b80a00fed53214d62 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 12 Apr 2023 14:54:01 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_7003 dfeofdm: Update documentation lib: sw_apps: memory_tests: Update copyright year lib: bsp: Added support to- use ttc as sleeptimer for cortexR52 Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index c38d02f3..57740a1d 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "2e9f85579e203d76b983ff21c4294a8c3d578942" -ESW_REV[2023.2] = "6696e1ceced046865acc9609a3fbb807cc20e6be" +ESW_REV[2023.2] = "7764cc205ef8e016ed6420b9c722664d7a9ec3dc" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 656bca670c7f9ff1e8842ef7afbd221ffd3a98a1 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 12 Apr 2023 14:56:22 +0530 Subject: Updated SRCREV of linux-xlnx for 2023.2_4983 Revert "firmware: xilinx: znqmp_pm_init_finalize as late_initcall" Revert "soc: xilinx: znqmp_pm_init_finalize as late_initcall of PM driver" Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 3db09378..f609c001 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.5" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "39d8eba78d4806607f72cf1ca3fbeb5ec8a9ed0b" +SRCREV = "e5753363b5e03fc0a3055d5476c6cca93e9ea28b" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 4e267cd4b04041852cb1066a434f0733bf76acca Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Tue, 11 Apr 2023 17:46:08 -0600 Subject: README.md: Fix Board Device tree for vpk180 Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-bsp/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-bsp/README.md b/meta-xilinx-bsp/README.md index 2db463f6..29177ad7 100644 --- a/meta-xilinx-bsp/README.md +++ b/meta-xilinx-bsp/README.md @@ -42,7 +42,7 @@ The following boards are supported by the meta-xilinx-bsp layer: | | [VMK180](https://www.xilinx.com/products/boards-and-kits/vmk180.html) | [vmk180-versal](conf/machine/vmk180-versal.conf) | `vmk180-versal` | `versal-vmk180-reva-x-ebm-01-reva` | Yes | Yes | | | [VCK5000](https://www.xilinx.com/products/boards-and-kits/vck5000.html) | [vck5000-versal](conf/machine/vck5000-versal.conf) | `vck5000-versal` | `versal-vck5000-reva-x-ebm-01-reva` | No | No | | | [VPK120](https://www.xilinx.com/products/boards-and-kits/vpk120.html) | [vpk120-versal](conf/machine/vpk120-versal.conf) | `vpk120-versal` | `versal-vpk120-reva` | Yes | Yes | -| | [VPK180](https://www.xilinx.com/products/boards-and-kits/vpk180.html) | [vpk180-versal](conf/machine/vpk180-versal.conf) | `vpk180-versal` | `versal-vmk180-reva-x-ebm-01-reva` | Yes | Yes | +| | [VPK180](https://www.xilinx.com/products/boards-and-kits/vpk180.html) | [vpk180-versal](conf/machine/vpk180-versal.conf) | `vpk180-versal` | `versal-vpk180-reva` | Yes | Yes | | | [VEK280](https://www.xilinx.com/products/boards-and-kits/vek280.html) | [vek280-versal](conf/machine/vek280-versal.conf) | `vek280-versal` | `versal-vek280-reva` | Yes | Yes | | | [VHK158](https://www.xilinx.com/products/boards-and-kits/vhk158.html) | [vhk158-versal](conf/machine/vhk158-versal.conf) | `vhk158-versal` | `versal-vhk158-reva` | Yes | Yes | -- cgit v1.2.3-54-g00ecf From a4981fe3f6007eeba4a603d847906afa6a3d5bc3 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 13 Apr 2023 12:50:16 +0530 Subject: Updated SRCREV of aie-rt for 2023.2_9111 driver: src: Update minor version for 2023.1 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 16cc64fa..46e68a53 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "xlnx_rel_v2023.1" -SRCREV ?= "4368b65e3ac1ecdc6dc24ae31e01d57cd02cce0d" +SRCREV ?= "bad1a951aa36fc2d3a3df8d834c048d43b5fac91" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From 1b71f4b7059c98a172d1e814cb78afaeb86ed0e2 Mon Sep 17 00:00:00 2001 From: Gregory Williams Date: Wed, 12 Apr 2023 14:44:34 -0700 Subject: meta-xilinx-core: Version bump ai-engine-driver and aiefal for 2023.1 release Add version bump for 2023.1 release to ai-engine-driver and aiefal Changelog: driver: src: Update minor version for 2023.1 Signed-off-by: Gregory Williams Added SRCREV update Signed-off-by: Mark Hatle --- .../recipes-bsp/ai-engine/ai-engine-driver_3.2.bb | 47 ---------------------- .../recipes-bsp/ai-engine/ai-engine-driver_3.3.bb | 47 ++++++++++++++++++++++ .../recipes-bsp/ai-engine/aiefal_1.0.bb | 33 --------------- .../recipes-bsp/ai-engine/aiefal_1.1.bb | 33 +++++++++++++++ 4 files changed, 80 insertions(+), 80 deletions(-) delete mode 100644 meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.2.bb create mode 100644 meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.3.bb delete mode 100644 meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.0.bb create mode 100644 meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.1.bb diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.2.bb b/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.2.bb deleted file mode 100644 index 787111b5..00000000 --- a/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.2.bb +++ /dev/null @@ -1,47 +0,0 @@ -SUMMARY = "Xilinx AI Engine runtime" -DESCRIPTION = "This library provides APIs for the runtime support of the Xilinx AI Engine IP" - -require aie-rt.inc - -SECTION = "libs" - -AIEDIR ?= "${S}/driver" -S = "${WORKDIR}/git" -I = "${AIEDIR}/include" - -COMPATIBLE_MACHINE = "^$" -COMPATIBLE_MACHINE:versal-ai-core = "versal-ai-core" -COMPATIBLE_MACHINE:versal-ai-edge = "${SOC_VARIANT_ARCH}" -PV = "3.2" - -IOBACKENDS ?= "Linux" - -DEPENDS = "${@bb.utils.contains('IOBACKENDS', 'metal', 'libmetal', '', d)}" -RDEPENDS:${PN} = "${@bb.utils.contains('IOBACKENDS', 'metal', 'libmetal', '', d)}" - -PROVIDES = "libxaiengine" -RPROVIDES:${PN} = "libxaiengine" - -# The makefile isn't ready for parallel execution at the moment -PARALLEL_MAKE = "-j 1" - -CFLAGS += "-Wall -Wextra -fno-thread-jumps -fno-tree-pre" -CFLAGS += "${@bb.utils.contains('IOBACKENDS', 'Linux', ' -D__AIELINUX__', '', d)}" -CFLAGS += "${@bb.utils.contains('IOBACKENDS', 'metal', ' -D__AIEMETAL__', '', d)}" -EXTRA_OEMAKE = "-C ${AIEDIR}/src -f Makefile.Linux CFLAGS='${CFLAGS}'" - - -do_compile(){ - oe_runmake -} - -do_install(){ - install -d ${D}${includedir} - install ${I}/*.h ${D}${includedir}/ - install -d ${D}${includedir}/xaiengine - install ${I}/xaiengine/*.h ${D}${includedir}/xaiengine/ - install -d ${D}${libdir} - cp -dr ${AIEDIR}/src/*.so* ${D}${libdir} -} - -PACKAGE_ARCH:versal-ai-core = "${SOC_VARIANT_ARCH}" diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.3.bb b/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.3.bb new file mode 100644 index 00000000..6a4fd880 --- /dev/null +++ b/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.3.bb @@ -0,0 +1,47 @@ +SUMMARY = "Xilinx AI Engine runtime" +DESCRIPTION = "This library provides APIs for the runtime support of the Xilinx AI Engine IP" + +require aie-rt.inc + +SECTION = "libs" + +AIEDIR ?= "${S}/driver" +S = "${WORKDIR}/git" +I = "${AIEDIR}/include" + +COMPATIBLE_MACHINE = "^$" +COMPATIBLE_MACHINE:versal-ai-core = "versal-ai-core" +COMPATIBLE_MACHINE:versal-ai-edge = "${SOC_VARIANT_ARCH}" +PV = "3.3" + +IOBACKENDS ?= "Linux" + +DEPENDS = "${@bb.utils.contains('IOBACKENDS', 'metal', 'libmetal', '', d)}" +RDEPENDS:${PN} = "${@bb.utils.contains('IOBACKENDS', 'metal', 'libmetal', '', d)}" + +PROVIDES = "libxaiengine" +RPROVIDES:${PN} = "libxaiengine" + +# The makefile isn't ready for parallel execution at the moment +PARALLEL_MAKE = "-j 1" + +CFLAGS += "-Wall -Wextra -fno-thread-jumps -fno-tree-pre" +CFLAGS += "${@bb.utils.contains('IOBACKENDS', 'Linux', ' -D__AIELINUX__', '', d)}" +CFLAGS += "${@bb.utils.contains('IOBACKENDS', 'metal', ' -D__AIEMETAL__', '', d)}" +EXTRA_OEMAKE = "-C ${AIEDIR}/src -f Makefile.Linux CFLAGS='${CFLAGS}'" + + +do_compile(){ + oe_runmake +} + +do_install(){ + install -d ${D}${includedir} + install ${I}/*.h ${D}${includedir}/ + install -d ${D}${includedir}/xaiengine + install ${I}/xaiengine/*.h ${D}${includedir}/xaiengine/ + install -d ${D}${libdir} + cp -dr ${AIEDIR}/src/*.so* ${D}${libdir} +} + +PACKAGE_ARCH:versal-ai-core = "${SOC_VARIANT_ARCH}" diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.0.bb b/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.0.bb deleted file mode 100644 index 641c39a9..00000000 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.0.bb +++ /dev/null @@ -1,33 +0,0 @@ -SUMMARY = "Xilinx AI Engine FAL(Functional Abstraction Layer)" -DESCRIPTION = "AIE FAL provides functional abstraction APIs for runtime support of Xilinx AI Engine IP" - -require aie-rt.inc - -SECTION = "devel" - -XAIEFAL_DIR ?= "fal" -S = "${WORKDIR}/git" - -COMPATIBLE_MACHINE = "^$" -COMPATIBLE_MACHINE:versal-ai-core = "versal-ai-core" -COMPATIBLE_MACHINE:versal-ai-edge = "${SOC_VARIANT_ARCH}" - -IOBACKENDS ?= "Linux" - -PROVIDES = "aiefal" -ALLOW_EMPTY:${PN} = "1" - -inherit pkgconfig cmake - -DEPENDS = "libxaiengine" - -OECMAKE_SOURCEPATH = "${S}/${XAIEFAL_DIR}" - -EXTRA_OECMAKE = "-DWITH_TESTS=OFF " -EXTRA_OECMAKE:append = "${@'-DWITH_EXAMPLES=ON' if d.getVar('WITH_EXAMPLES') == 'y' else '-DWITH_EXAMPLES=OFF'}" - -FILES:${PN}-demos = " \ - ${bindir}/* \ -" - -PACKAGE_ARCH:versal-ai-core = "${SOC_VARIANT_ARCH}" diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.1.bb b/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.1.bb new file mode 100644 index 00000000..641c39a9 --- /dev/null +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.1.bb @@ -0,0 +1,33 @@ +SUMMARY = "Xilinx AI Engine FAL(Functional Abstraction Layer)" +DESCRIPTION = "AIE FAL provides functional abstraction APIs for runtime support of Xilinx AI Engine IP" + +require aie-rt.inc + +SECTION = "devel" + +XAIEFAL_DIR ?= "fal" +S = "${WORKDIR}/git" + +COMPATIBLE_MACHINE = "^$" +COMPATIBLE_MACHINE:versal-ai-core = "versal-ai-core" +COMPATIBLE_MACHINE:versal-ai-edge = "${SOC_VARIANT_ARCH}" + +IOBACKENDS ?= "Linux" + +PROVIDES = "aiefal" +ALLOW_EMPTY:${PN} = "1" + +inherit pkgconfig cmake + +DEPENDS = "libxaiengine" + +OECMAKE_SOURCEPATH = "${S}/${XAIEFAL_DIR}" + +EXTRA_OECMAKE = "-DWITH_TESTS=OFF " +EXTRA_OECMAKE:append = "${@'-DWITH_EXAMPLES=ON' if d.getVar('WITH_EXAMPLES') == 'y' else '-DWITH_EXAMPLES=OFF'}" + +FILES:${PN}-demos = " \ + ${bindir}/* \ +" + +PACKAGE_ARCH:versal-ai-core = "${SOC_VARIANT_ARCH}" -- cgit v1.2.3-54-g00ecf From 8c32e90f438b92b4f52b3e942fb60eacd5bec842 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Wed, 12 Apr 2023 15:30:04 -0700 Subject: xilinx-standalone.inc: Remove security_flags.inc Inclusion of security_flags.inc lead to a number of problems with the baremetal distributions: *ARM v8* aarch64-xilinx-elf-ld: testA53.elf: error: PHDR segment not covered by LOAD segment aarch64-xilinx-elf-ld: warning: testA53.elf has a LOAD segment with RWX permissions *ARM v7* arm-xilinx-eabi-ld: .../aarch32-xilinx-eabi/usr/lib/thumb/v7-a+fp/hard/crtbegin.o: relocation R_ARM_THM_MOVW_ABS_NC against `a local symbol' can not be used when making a shared object; recompile with -fPIC The configuration that works: export CFLAGS=" -O2 -pipe -g -feliminate-unused-debug-types " export CXXFLAGS=" -O2 -pipe -g -feliminate-unused-debug-types " export LDFLAGS="-Wl,-O1 -Wl,--hash-style=gnu -Wl,--as-needed -Wl,-z,relro,-z,now" export CPPFLAGS="" Configuration that provides the warnings/errors: export CFLAGS=" -O2 -pipe -g -feliminate-unused-debug-types " export CXXFLAGS=" -O2 -pipe -g -feliminate-unused-debug-types " export LDFLAGS="-Wl,-O1 -Wl,--hash-style=gnu -Wl,--as-needed " export CPPFLAGS="" The LDFLAGS was introdued by the security_flags.inc, the SECURITY_CFLGAS:class-target ?= "" and SECURITY_LDFLAGS:class-target ?= "" was supposed to prevent this, however the cross compiler is built in the cross or canadian-cross or other context and NOT target. Additionally the SDK environment is configured in the nativesdk environment, so the default values may not match the configured (target) compiler and CFLAGS. Removing security_flags.inc resolves both of these issues, as the security flags don't really do anything on a baremetal configuration, by default, anyway. Signed-off-by: Mark Hatle --- meta-xilinx-standalone/conf/distro/xilinx-standalone.inc | 4 ---- 1 file changed, 4 deletions(-) diff --git a/meta-xilinx-standalone/conf/distro/xilinx-standalone.inc b/meta-xilinx-standalone/conf/distro/xilinx-standalone.inc index 4b51dd1b..f86a5971 100644 --- a/meta-xilinx-standalone/conf/distro/xilinx-standalone.inc +++ b/meta-xilinx-standalone/conf/distro/xilinx-standalone.inc @@ -39,12 +39,8 @@ TOOLCHAIN_HOST_TASK:append:sdkmingw32 = " nativesdk-mingw-w64-winpthreads" # do so. DISABLE_STATIC:class-target ?= "" -SECURITY_CFLAGS:class-target ?= "" -SECURITY_LDFLAGS:class-target ?= "" - require conf/distro/include/no-static-libs.inc require conf/distro/include/yocto-uninative.inc -require conf/distro/include/security_flags.inc INHERIT += "uninative" BB_SIGNATURE_HANDLER ?= "OEEquivHash" -- cgit v1.2.3-54-g00ecf From 626fa23c7eb2927716377329571a512cc19a4e69 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 14 Apr 2023 19:22:17 +0530 Subject: Updated SRCREV of linux-xlnx for 2023.2_5543 mtd: spi-nor: Fix flash protection failure on Winbond 16MB flash parts net: axienet: Fix memory leak issue Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index f609c001..53352d41 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.5" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "e5753363b5e03fc0a3055d5476c6cca93e9ea28b" +SRCREV = "775101cac2889f9a39b39954ce1ee5db4212b631" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From a5fc01aca27a5e504fa1e5e82abec4ec0d5228b2 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 17 Apr 2023 12:49:46 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_4219 versal_psmfw: versal_net: set local power state at last emacps: example: Fix GEM1 base address check. Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 57740a1d..8500c598 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "2e9f85579e203d76b983ff21c4294a8c3d578942" -ESW_REV[2023.2] = "7764cc205ef8e016ed6420b9c722664d7a9ec3dc" +ESW_REV[2023.2] = "448abaa11ad5fc71a2910a7e30c38f09a277eca0" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 6053d9dd24cd202420cce4f8de0e2711487c5a8b Mon Sep 17 00:00:00 2001 From: rbramand Date: Fri, 14 Apr 2023 18:51:53 +0530 Subject: Update xrt, zocl commit id changelog : fix for channel numbers in MEM tiles (#7496) CR-1159385: Finished BD event is missing for memtile trace for AIE-ML designs for input_channels (#7495) Signed-off-by: rbramand Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 19f8f8cd..62575ceb 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -3,6 +3,6 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG}" BRANCH= "2023.1" -SRCREV= "f0c2e397211347dfa1ea92910712d481fe43f662" +SRCREV= "67a13ed5a477cfa24a9dd3d58ccb97a910f10dac" PV = "202310.2.15.0" -- cgit v1.2.3-54-g00ecf From c39fcbff5ca81e0e17fae8f484d85fa5edd0e977 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Tue, 18 Apr 2023 12:45:11 +0530 Subject: Updated Commit ID Kconfig.part: Add config option for BB_NUMBER_PARSE_THREADS in tool Kconfig-aarch64.part:Kconfig-arm.part: Update help section for package_feeds Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 960e977c..081763e8 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 960e977c53ce3e721c055aaa33db131c8424e01e +Subproject commit 081763e8158776b0738101ae038c81c634683d37 -- cgit v1.2.3-54-g00ecf From 5842e6baf5e5e4b5ff32774a14bf8dbe8a544fab Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 18 Apr 2023 12:46:02 +0530 Subject: Updated SRCREV of qemu for 2023.2_6027 xlnx_dp: fetch data after vertical sync Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc index 3309ce11..b7907453 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc @@ -1,3 +1,3 @@ XILINX_QEMU_VERSION = "v7.1.0" BRANCH = "master" -SRCREV = "21adc9f99e813fb24fb65421259b5b0614938376" +SRCREV = "e50300526865b01353a91fd3910f06d021c6e736" -- cgit v1.2.3-54-g00ecf From bdd3afc624b743af408ebba476d511a1ec064738 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 18 Apr 2023 12:49:40 +0530 Subject: Updated SRCREV of aie-rt for 2023.2_3835 fal: data: Update version to 1.4 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 46e68a53..5eeb69d4 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "xlnx_rel_v2023.1" -SRCREV ?= "bad1a951aa36fc2d3a3df8d834c048d43b5fac91" +SRCREV ?= "1ad203de0b7f282b1c0659fd2ae3f218652c7274" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From 9a8d984771f9f8120f8d979bb2de3a82bcbfac84 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 18 Apr 2023 23:50:03 +0530 Subject: Updated SRCREV of qemu for 2023.2_6067 versal-pmx-iou-slcr: Init the mux configuration Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc index b7907453..7ae58bc3 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc @@ -1,3 +1,3 @@ XILINX_QEMU_VERSION = "v7.1.0" BRANCH = "master" -SRCREV = "e50300526865b01353a91fd3910f06d021c6e736" +SRCREV = "252fa08d4fb724b35324c0168ff7d07d90536c93" -- cgit v1.2.3-54-g00ecf From 0098d1d2dfa24d5440effb4f022ad1dcde154361 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 18 Apr 2023 23:54:38 +0530 Subject: Updated SRCREV of dts for 2023.2_5559 Makefile: Add auto-gen-dts target Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb index 9a5022aa..399ace87 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb @@ -2,5 +2,5 @@ require qemu-devicetrees.inc BRANCH ?= "master" -SRCREV ?= "1c45adcde1fc06432c01be250bf668c6477d8459" +SRCREV ?= "a850807921a56ba8b4ada504e9f9d5172bafcfea" -- cgit v1.2.3-54-g00ecf From 940f9deef2c047a85f72332c2b00a97a48317265 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 20 Apr 2023 13:34:06 +0530 Subject: Updated SRCREV of linux-xlnx for 2023.2_1711 mtd: spi-nor: Fix flash lock failure in Macronix flashes Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 53352d41..1e661638 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.5" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "775101cac2889f9a39b39954ce1ee5db4212b631" +SRCREV = "4cb76a1bc5220131b5a4f85460b43f09ad1611e9" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 9f1a6d2a5d98b8b836c313aa1cde205a990db82a Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 20 Apr 2023 13:32:45 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_8743 rfdc: Enable workaround for a specific version of libidn.so needed by cmake XilinxProcessorIPLib: drivers: aiengine: Add support for system device-tree flow plm: versal: Remove xplm_modules from PPU1 RAM XilinxProcessorIPLib: drivers: CMakeLists.txt: Add required cmake meta-data for libxil xilpuf: Fix PUF auxiliary convergence error versal_psmfw: versal_net: reduce partition count xilpm: versal: server: Update BFR-B pre-config sequence Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 8500c598..777eb31a 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "2e9f85579e203d76b983ff21c4294a8c3d578942" -ESW_REV[2023.2] = "448abaa11ad5fc71a2910a7e30c38f09a277eca0" +ESW_REV[2023.2] = "0a86a22212eb3ade44ca71f513c4b3fa1d2d17ac" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 08a707e456c8bbf1f428a5607e673204cfbc7daa Mon Sep 17 00:00:00 2001 From: rbramand Date: Mon, 17 Apr 2023 22:06:11 +0530 Subject: Update xrt, zocl commit id changelog : CR-1150767 Fix for clock throttling documentation. (#7502) (#7505) reporting 1 or 2 MEM tile channels (#7497) Signed-off-by: rbramand Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 62575ceb..3b150673 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -3,6 +3,6 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG}" BRANCH= "2023.1" -SRCREV= "67a13ed5a477cfa24a9dd3d58ccb97a910f10dac" +SRCREV= "64c933573e7e50a8aba939a74209590c2b739e8b" PV = "202310.2.15.0" -- cgit v1.2.3-54-g00ecf From ab9f0b428c100e3e03876b79c0108bd78cf023b2 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 24 Apr 2023 22:31:11 +0530 Subject: Updated SRCREV of linux-xlnx for 2023.2_1411 usb: dwc3: otg: Enable OTG support in dwc3-core driver usb: dwc3: fixed OTG feature failure for xilinx platform usb: dwc3: core: disable 3.0 clock when operating in 2.0 device mode Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 1e661638..6740a459 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.5" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "4cb76a1bc5220131b5a4f85460b43f09ad1611e9" +SRCREV = "716921b6d7dc9db49660369428fb61ca96947ccb" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From dde668d8e29155c8d4b09a1fc6a03508f2837ece Mon Sep 17 00:00:00 2001 From: Gregory Williams Date: Thu, 20 Apr 2023 16:16:27 -0700 Subject: meta-xilinx-core: Update aiefal version Update aiefal version to match what is present in Vitis tools. Signed-off-by: Gregory Williams Signed-off-by: Mark Hatle --- .../recipes-bsp/ai-engine/aiefal_1.1.bb | 33 ---------------------- .../recipes-bsp/ai-engine/aiefal_1.4.bb | 33 ++++++++++++++++++++++ 2 files changed, 33 insertions(+), 33 deletions(-) delete mode 100644 meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.1.bb create mode 100644 meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.4.bb diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.1.bb b/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.1.bb deleted file mode 100644 index 641c39a9..00000000 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.1.bb +++ /dev/null @@ -1,33 +0,0 @@ -SUMMARY = "Xilinx AI Engine FAL(Functional Abstraction Layer)" -DESCRIPTION = "AIE FAL provides functional abstraction APIs for runtime support of Xilinx AI Engine IP" - -require aie-rt.inc - -SECTION = "devel" - -XAIEFAL_DIR ?= "fal" -S = "${WORKDIR}/git" - -COMPATIBLE_MACHINE = "^$" -COMPATIBLE_MACHINE:versal-ai-core = "versal-ai-core" -COMPATIBLE_MACHINE:versal-ai-edge = "${SOC_VARIANT_ARCH}" - -IOBACKENDS ?= "Linux" - -PROVIDES = "aiefal" -ALLOW_EMPTY:${PN} = "1" - -inherit pkgconfig cmake - -DEPENDS = "libxaiengine" - -OECMAKE_SOURCEPATH = "${S}/${XAIEFAL_DIR}" - -EXTRA_OECMAKE = "-DWITH_TESTS=OFF " -EXTRA_OECMAKE:append = "${@'-DWITH_EXAMPLES=ON' if d.getVar('WITH_EXAMPLES') == 'y' else '-DWITH_EXAMPLES=OFF'}" - -FILES:${PN}-demos = " \ - ${bindir}/* \ -" - -PACKAGE_ARCH:versal-ai-core = "${SOC_VARIANT_ARCH}" diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.4.bb b/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.4.bb new file mode 100644 index 00000000..641c39a9 --- /dev/null +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.4.bb @@ -0,0 +1,33 @@ +SUMMARY = "Xilinx AI Engine FAL(Functional Abstraction Layer)" +DESCRIPTION = "AIE FAL provides functional abstraction APIs for runtime support of Xilinx AI Engine IP" + +require aie-rt.inc + +SECTION = "devel" + +XAIEFAL_DIR ?= "fal" +S = "${WORKDIR}/git" + +COMPATIBLE_MACHINE = "^$" +COMPATIBLE_MACHINE:versal-ai-core = "versal-ai-core" +COMPATIBLE_MACHINE:versal-ai-edge = "${SOC_VARIANT_ARCH}" + +IOBACKENDS ?= "Linux" + +PROVIDES = "aiefal" +ALLOW_EMPTY:${PN} = "1" + +inherit pkgconfig cmake + +DEPENDS = "libxaiengine" + +OECMAKE_SOURCEPATH = "${S}/${XAIEFAL_DIR}" + +EXTRA_OECMAKE = "-DWITH_TESTS=OFF " +EXTRA_OECMAKE:append = "${@'-DWITH_EXAMPLES=ON' if d.getVar('WITH_EXAMPLES') == 'y' else '-DWITH_EXAMPLES=OFF'}" + +FILES:${PN}-demos = " \ + ${bindir}/* \ +" + +PACKAGE_ARCH:versal-ai-core = "${SOC_VARIANT_ARCH}" -- cgit v1.2.3-54-g00ecf From 27295f555937c79bcb368fe8885eab2a0922e377 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 27 Apr 2023 12:46:06 +0530 Subject: Updated SRCREV of qemu for 2023.2_6499 hw/nvram: Avoid unnecessary Xilinx eFuse backstore write xlnx-efuse: Avoid unnecessary backstore write Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc index 7ae58bc3..bef5f1cb 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc @@ -1,3 +1,3 @@ XILINX_QEMU_VERSION = "v7.1.0" BRANCH = "master" -SRCREV = "252fa08d4fb724b35324c0168ff7d07d90536c93" +SRCREV = "e5010c257bb72d1f28d83b561cbf7ef5e4c62722" -- cgit v1.2.3-54-g00ecf From 8b1dce3e37e45b6fb832ffe2f51522bef955dcfe Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Thu, 27 Apr 2023 13:42:59 -0500 Subject: xlnx-embeddedsw: Move to release branch and commit Signed-off-by: Mark Hatle (cherry picked from commit 2ba0c89b9aae7459b3d9e3c328c1db3fea4dc617) Signed-off-by: Mark Hatle --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 777eb31a..409da1e8 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -3,11 +3,11 @@ ESW_VER ?= "${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or 'master' REPO ??= "git://github.com/Xilinx/embeddedsw.git;protocol=https" -ESW_BRANCH[2023.1] = "xlnx_rel_v2023.1-next" +ESW_BRANCH[2023.1] = "xlnx_rel_v2023.1" ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" -ESW_REV[2023.1] = "2e9f85579e203d76b983ff21c4294a8c3d578942" +ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" ESW_REV[2023.2] = "0a86a22212eb3ade44ca71f513c4b3fa1d2d17ac" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" @@ -15,7 +15,7 @@ EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH' EMBEDDEDSW_SRCURI ?= "${REPO};${EMBEDDEDSW_BRANCHARG}" LICENSE = "MIT" -LIC_FILES_CHKSUM[xlnx_rel_v2023.1-next] = '15386ea7656d3b83815bce88c0bbe66d' +LIC_FILES_CHKSUM[xlnx_rel_v2023.1] = '3c310a3ee2197a4c92c6a0e2937c207c' LIC_FILES_CHKSUM[master-next] = '15386ea7656d3b83815bce88c0bbe66d' LIC_FILES_CHKSUM ??= "file://license.txt;md5=${@d.getVarFlag('LIC_FILES_CHKSUM', d.getVar('BRANCH')) or '0'}" -- cgit v1.2.3-54-g00ecf From 4ea21d3e60970f68592caa099de631af4f985fe7 Mon Sep 17 00:00:00 2001 From: Neel Gandhi Date: Thu, 27 Apr 2023 13:24:25 +0530 Subject: Updating VCU license file checksum Updating checksum due to changes in legal name libomxil-xlnx change: chore: update to revision d20230406 libvcu-xlnx changes: Update name of ctrlsw level test application binaries chore: update to revision d20230406 Revert "Update name of ctrlsw level test application binaries" vcu-firmware changes: chore: update to revision d20230405 Signed-off-by: Neel Gandhi Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb | 4 ++-- meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb | 4 ++-- meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb | 4 ++-- 3 files changed, 6 insertions(+), 6 deletions(-) diff --git a/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb index 0970d28b..7902ec6d 100644 --- a/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb @@ -1,14 +1,14 @@ SUMMARY = "OpenMAX Integration layer for VCU" DESCRIPTION = "OMX IL Libraries,test applications and headers for VCU" LICENSE = "MIT" -LIC_FILES_CHKSUM = "file://LICENSE.md;md5=5375796c5ae4ee85ea1f2c1603e58509" +LIC_FILES_CHKSUM = "file://LICENSE.md;md5=ef69c2bb405668101824f0b644631e2e" XILINX_VCU_VERSION = "1.0.0" PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}" BRANCH ?= "master" REPO ?= "git://github.com/Xilinx/vcu-omx-il.git;protocol=https" -SRCREV = "d249023cf54f15b5c25d0d16fc3f5892404e0438" +SRCREV = "10531499e0361b1ce97e0214ffa4e4b32887565e" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" diff --git a/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb index b2931886..0c0548d7 100644 --- a/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb @@ -1,14 +1,14 @@ SUMMARY = "Control Software for VCU" DESCRIPTION = "Control software libraries, test applications and headers provider for VCU" LICENSE = "MIT" -LIC_FILES_CHKSUM = "file://LICENSE.md;md5=5375796c5ae4ee85ea1f2c1603e58509" +LIC_FILES_CHKSUM = "file://LICENSE.md;md5=ef69c2bb405668101824f0b644631e2e" XILINX_VCU_VERSION = "1.0.0" PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}" BRANCH ?= "master" REPO ?= "git://github.com/Xilinx/vcu-ctrl-sw.git;protocol=https" -SRCREV = "83aabb84c26667f7d6aee632654c63e504838061" +SRCREV = "5cff15b1f76e10e727798967428030af9c8a9a33" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" diff --git a/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb index 5a4852f8..837a06b3 100644 --- a/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb @@ -1,7 +1,7 @@ SUMMARY = "Firmware for VCU" DESCRIPTION = "Firmware binaries provider for VCU" LICENSE = "Proprietary" -LIC_FILES_CHKSUM = "file://LICENSE.md;md5=6da65299754e921b31f03e9b11d77a74" +LIC_FILES_CHKSUM = "file://LICENSE.md;md5=52eb1e8f27e0e189b175c7d75f028cc6" XILINX_VCU_VERSION = "1.0.0" PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}" @@ -10,7 +10,7 @@ S = "${WORKDIR}/git" BRANCH ?= "master" REPO ?= "git://github.com/Xilinx/vcu-firmware.git;protocol=https" -SRCREV = "c90288595ac9a12ff401de6dfa680b1f9adce5f6" +SRCREV = "535a1a4101d3231cd59521f7cdd871d091a4cc39" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" -- cgit v1.2.3-54-g00ecf From b2d6f56aa9cff8eeab271a9f77e7e91283b91fe0 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Sat, 29 Apr 2023 08:53:05 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_7755 lib: bsp: standalone: Include bspconfig.h in boot.S for system device-tree flow lib: bsp: standalone: Use XScuGic_LookupConfigBaseAddr() API for xsct flow Update addtogroup to Overview and AMD copyright versal_rpu_bsp: Fixed some MISRA-C violations. xilpm: versal_net: client: add idle call back support zynqmp_pmufw: bypass smmu during suspend Updated change logs for plm & its libraries lib: sw_services: xiltimer: Fix ifdef check in the microblaze_sleep.c lib: bsp: standalone: Fix race conditions in the versal r5 mpu.c lib: bsp: standalone: Fix race conditions in the A72 translation table update Embedded SW license file for 2023.1 Revert "XilinxProcessorIPLib: drivers: aiengine: Add support for system device-tree flow" freertos: gicv3: check group 1 IAR in NS EL1 irq handler iomodule: Updated data types for few variables. iomodule: Typecasting condition expressions with bool. iomodule: Added parentheses to Expressions. iomodule: Added U to Numericals. sw_services: xilplmi: Address third party review comments sw_services: xilplmi: Fix third party review comments xilsem: Add support for psxl IP name sw_services:xilloader:updated examples to support versalnet XilNvm:Voltage, Temp Monitoring before efuse program lib: sw_apps: freertos_hello_world: Add support for system device-tree flow ThirdParty: bsp: freertos10_xilinx: Add support for system device-tree flow lib: sw_apps: memory_tests: Add support for system device-tree flow XilinxProcessorIPLib: drivers: iomodule: Add support for system device-tree flow XilinxProcessorIPLib: drivers: ipipsu: Add support for system device-tree flow XilinxProcessorIPLib: drivers: common: Add meta-data required for system device-tree flow XilinxProcessorIPLib: drivers: tmrctr: Add support for system device-tree flow XilinxProcessorIPLib: drivers: scugic: Add support for system device-tree flow XilinxProcessorIPLib: drivers: csudma: Add support for system device-tree flow XilinxProcessorIPLib: drivers: ttcps: Add support for system device-tree flow XilinxProcessorIPLib: drivers: uartpsv: Add support for system device-tree flow XilinxProcessorIPLib: drivers: uartps: Add support for system device-tree flow lib: sw_services: xiltimer: Add support for system device-tree flow scripts: Add linker template and processor spec files cmake: Add required cmake meta-data lib: bsp: standalone: src: common: Much needed improvements in xinterrupt_wrap.c lib: bsp: standalone: Add meta-data for the system device-tree flow lib: bsp: standalone: Update common code to support system device-tree flow lib: bsp: standalone: Add support for microblaze in system device-tree flow lib: bsp: standalone: Add support for cortexr5 in system device-tree flow lib: bsp: standalone: Add support for ARMv8 in system device-tree flow Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 409da1e8..94e7d4d5 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "0a86a22212eb3ade44ca71f513c4b3fa1d2d17ac" +ESW_REV[2023.2] = "69b70af59ec418d5c4d6e108b5bc7e537a181813" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" @@ -16,7 +16,7 @@ EMBEDDEDSW_SRCURI ?= "${REPO};${EMBEDDEDSW_BRANCHARG}" LICENSE = "MIT" LIC_FILES_CHKSUM[xlnx_rel_v2023.1] = '3c310a3ee2197a4c92c6a0e2937c207c' -LIC_FILES_CHKSUM[master-next] = '15386ea7656d3b83815bce88c0bbe66d' +LIC_FILES_CHKSUM[master-next] = '3c310a3ee2197a4c92c6a0e2937c207c' LIC_FILES_CHKSUM ??= "file://license.txt;md5=${@d.getVarFlag('LIC_FILES_CHKSUM', d.getVar('BRANCH')) or '0'}" SRC_URI = "${EMBEDDEDSW_SRCURI}" -- cgit v1.2.3-54-g00ecf From ec9d3f44e72503edc7cdf104739c38d399ee598d Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Sat, 29 Apr 2023 12:45:10 +0530 Subject: Updated Commit ID gen-machineconf: decode has been removed in python 3.10 Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 081763e8..42bcd2c9 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 081763e8158776b0738101ae038c81c634683d37 +Subproject commit 42bcd2c90358fc7d9df8feb38cc1fd7e99e4f683 -- cgit v1.2.3-54-g00ecf From b71c7a173b9500bb54f784b8a95f0dd646acc871 Mon Sep 17 00:00:00 2001 From: Varalaxmi Bingi Date: Tue, 2 May 2023 16:29:00 +0530 Subject: u-boot-xlnx-2023.2.inc:update branch and SRCREV for 2023.2 Changes from 2023.1 branch: arm64: dts: versal-net: Fix msi controller node name arm64: zynqmp: remove snps,enable_guctl1_resume_quirk quirk for usb arm64: xilinx: Fix indentation and trailing spaces in dts arm64: zynqmp: Assign TSU clock frequency for KV and KD boards arm64: zynqmp: Assign TSU clock frequency for KR260 arm64: zynqmp: Remove interrupt/reg-names for AMS arm64: zynqmp: Rename ams_ps/pl node names arm64: zynqmp: Remove ltc2954 node from DT arm64: zynqmp: Fix gpio comment about No of gpios Revert "spi: zynqmp_qspi: Remove enabling interrupts code" arm64: zynqmp: Update the i2c0 node for zcu1285 arm64: versal_net: Update RMII property arm64: versal-net: Update spi-tx-bus-width to 4 arm64: versal-net: Update spi-max-freq to 150Mhz arm64: versal-net: Add new parallel DT binding for tenzing se9 board arm64: zynqmp: Add new parallel DT binding for ZC1751+DC1 board arm64: versal: Enable ADIN ethernet phy arm64: zynqmp: Enable ADIN ethernet phy arm64: versal-net: dts: add cpuidle node cmd: sf/nand: Print and return failure when 0 length is passed arm64: zynqmp: Fix User MTD partition size xilinx: dts: Fix open drain warning on Zynq, ZynqMP and Versal arm: xilinx: Setting default i2c clock frequency to 400kHz arm: dts: versal-net: add usb-wakeup interrupt in dwc-xilinx core arm: dts: versal-net: add ref_clk property for REFCLKPER calculation test: py: tests: Add test case for USB device test: py: tests: Add dhcp abort test test: py: tests: Add pxe command test Signed-off-by: Varalaxmi Bingi Added changelog above. Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 01082966..49959d28 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -1,8 +1,8 @@ UBOOT_VERSION = "v2023.01" -UBRANCH = "xlnx_rebase_v2023.01" +UBRANCH = "xlnx_rel_2023.2-next" -SRCREV = "40a08d69e749c0472103551c85c02c41f979453d" +SRCREV = "9afbec02663ee0ddac4d7377cf36993adee2cb8a" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From f11a18da99cc8218f7269114598c19d012cbe714 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 3 May 2023 10:25:38 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_6171 sw_services:xilloader:Add partial pdi loading from Image Store BSP: cortexr5: Separate out CortexR52 MPU APIs asm_vectors: fix kernel boot header sw_apps: zynqmp_pmufw: Add support of parallel build Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 94e7d4d5..cba896e2 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "69b70af59ec418d5c4d6e108b5bc7e537a181813" +ESW_REV[2023.2] = "d857676733ca92a65f120b5141ba213c367e3127" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 5e6d4f1fed8ff4ce77d9a3745ccaf94225f79abc Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Fri, 5 May 2023 12:45:10 +0530 Subject: Updated Commit ID gen_config.py: Generate TUNE_FEATURES based on XSA params gen_plnx_machine.py: regenerating the configs gen_config.py: adding new type to get_config_value gen_plnx_machine.py: Reformat using autopep8 gen-machineconf: Update --menuconfig arg gen_config.py: Generate the multi config targets dynamically config_zynqmp:remove the default muticonfig targets config_versal:remove the default muticonfig targets Kconfig.part:remove static yocto multiconfigs Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 42bcd2c9..7584b822 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 42bcd2c90358fc7d9df8feb38cc1fd7e99e4f683 +Subproject commit 7584b822298abf0f7b2f4cd457e13675dc162f37 -- cgit v1.2.3-54-g00ecf From 880666b1691769c498e62d4cd129f61bc66ea7a0 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 5 May 2023 12:46:04 +0530 Subject: Updated SRCREV of qemu for 2023.2_9715 mdio: handle 16 bit mdio writes versal: efuse: Block reset from setting 2 registers hw/nvram: Fix incorrect guest-error log from Xilinx Zynqmp eFuse hw/nvram: Fix incorrect guest-error log from Xilinx Versal eFuse Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc index bef5f1cb..36dfbbf0 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc @@ -1,3 +1,3 @@ XILINX_QEMU_VERSION = "v7.1.0" BRANCH = "master" -SRCREV = "e5010c257bb72d1f28d83b561cbf7ef5e4c62722" +SRCREV = "254e485e8d08d8734b216ddc8ed51b72b6f57139" -- cgit v1.2.3-54-g00ecf From 78152401ebc2dbd0c0e635396a3434b16c07cf74 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Sat, 6 May 2023 12:46:00 +0530 Subject: Updated SRCREV of qemu for 2023.2_5147 hw/nvram: Add get_u32 abstraction to Xilinx eFuse xlnx-efuse: Add get_u32 abstraction Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc index 36dfbbf0..8dcb9074 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc @@ -1,3 +1,3 @@ XILINX_QEMU_VERSION = "v7.1.0" BRANCH = "master" -SRCREV = "254e485e8d08d8734b216ddc8ed51b72b6f57139" +SRCREV = "0dd5317ab0e759bf51f7cb6e26f7546426b277ec" -- cgit v1.2.3-54-g00ecf From d9b86749f50f093cb09f286393e4af96712ab159 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Sat, 6 May 2023 12:51:13 +0530 Subject: Updated SRCREV of dts for 2023.2_4067 versal: Add support for xcvc1502 versal: Add support for xcvm1302 versal: Add support for xcvm1402 versal: Add support for xcvp1502 versal: Add support for xcvm2302 versal: Add support for xcvm2902 device versal: Add support for xcvp1702 device Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb index 399ace87..d51be681 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb @@ -2,5 +2,5 @@ require qemu-devicetrees.inc BRANCH ?= "master" -SRCREV ?= "a850807921a56ba8b4ada504e9f9d5172bafcfea" +SRCREV ?= "48b8c1361b4379393b41091f2c9a0ed03a2e8fe0" -- cgit v1.2.3-54-g00ecf From 5ad9d012a84e51fcb076dd9414ed25f840f1ee02 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Mon, 8 May 2023 12:45:10 +0530 Subject: Updated Commit ID get_config.py: Display stdout in run_cmd Remove unused IMAGE_FSTYPES assignment Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 7584b822..9c3feba3 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 7584b822298abf0f7b2f4cd457e13675dc162f37 +Subproject commit 9c3feba3d65db92084d8342fbbc332e1cdca8973 -- cgit v1.2.3-54-g00ecf From df359346b2898d4443523bde1667c3c14cd83ad8 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 10 May 2023 15:33:17 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_2895 sw_services: xilloader: Redundancy check implemented incorrectly xilloader: versal_net: Added support for cluster flags in ATF handoff params xilpm: versal_net: server: add PMC_GPIO device in pre-alloc list xilplmi: Update default WDT timeout for VERSAL_NET sw_services:xilsecure:Fix xilsecure sha client example failure csudma: Fix Assert condition in XCsuDma_Transfer for VERSAL_NET lib: bsp: standalone: Update R5 ZyqnMP CMakeLists.txt as per latest soucre file xilplmi: versal_net: Fix PMC IRO frequency logic zynqmp_pmufw: Remove API ID enum xilpm: versal: common: Remove API ID enum xilpm: versal_net: common: Remove API ID enum xilpm: zynqmp: client: Remove API ID enum lib: bsp: standalone: Move API ID enum to common place prd: Increment driver version, copyright and addtogroup version prc: Increment driver version, copyright and addtogroup version dfxasm: Increment driver version, copyright and addtogroup version devcfg: Increment driver version, copyright and addtogroup version sw_apps: img_rcvry: Added support for kd240 boards lib: sw_apps: freertos: Enable lwip examples for Versal-Net lib: sw_apps: Enable lwip standalone examples for Versal-Net. lwip213: Add Versal-Net support for PS GEM. Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index cba896e2..4a51f54d 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "d857676733ca92a65f120b5141ba213c367e3127" +ESW_REV[2023.2] = "d59cf90656ccee564c031d881e20cf89256ae964" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 7a44bd747e4e5b1b2910e3cc4acd4be6f1bf49ff Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Fri, 5 May 2023 08:54:58 -0700 Subject: openamp:xrt: Fix directory path so bbappend applies The xrt_git.bbappend was in the wrong directory and was not applying the correct changes to enable the AI Engine. Move the bbappen into the xrt subdirectory will correct this. Signed-off-by: Mark Hatle --- .../openamp-layer/recipes-xrt/xrt/xrt_git.bbappend | 14 ++++++++++++++ .../openamp-layer/recipes-xrt/xrt_git.bbappend | 14 -------------- 2 files changed, 14 insertions(+), 14 deletions(-) create mode 100644 meta-xilinx-core/dynamic-layers/openamp-layer/recipes-xrt/xrt/xrt_git.bbappend delete mode 100644 meta-xilinx-core/dynamic-layers/openamp-layer/recipes-xrt/xrt_git.bbappend diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-xrt/xrt/xrt_git.bbappend b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-xrt/xrt/xrt_git.bbappend new file mode 100644 index 00000000..2b96f152 --- /dev/null +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-xrt/xrt/xrt_git.bbappend @@ -0,0 +1,14 @@ +# Use libmetal for systems with AIE +# For vck190 kind of devices +PACKAGE_ARCH:versal-ai-core = "${SOC_VARIANT_ARCH}" +EXTRA_OECMAKE:append:versal-ai-core = " -DXRT_AIE_BUILD=true" +TARGET_CXXFLAGS:append:versal-ai-core = " -DXRT_ENABLE_AIE" +DEPENDS:append:versal-ai-core = " libmetal libxaiengine aiefal" +RDEPENDS:${PN}:append:versal-ai-core = " libxaiengine aiefal" + +# For vek280 kind of devices +PACKAGE_ARCH:versal-ai-edge = "${SOC_VARIANT_ARCH}" +EXTRA_OECMAKE:append:versal-ai-edge = " -DXRT_AIE_BUILD=true" +TARGET_CXXFLAGS:append:versal-ai-edge = " -DXRT_ENABLE_AIE" +DEPENDS:append:versal-ai-edge = " libmetal libxaiengine aiefal" +RDEPENDS:${PN}:append:versal-ai-edge = " libxaiengine aiefal" diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-xrt/xrt_git.bbappend b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-xrt/xrt_git.bbappend deleted file mode 100644 index 2b96f152..00000000 --- a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-xrt/xrt_git.bbappend +++ /dev/null @@ -1,14 +0,0 @@ -# Use libmetal for systems with AIE -# For vck190 kind of devices -PACKAGE_ARCH:versal-ai-core = "${SOC_VARIANT_ARCH}" -EXTRA_OECMAKE:append:versal-ai-core = " -DXRT_AIE_BUILD=true" -TARGET_CXXFLAGS:append:versal-ai-core = " -DXRT_ENABLE_AIE" -DEPENDS:append:versal-ai-core = " libmetal libxaiengine aiefal" -RDEPENDS:${PN}:append:versal-ai-core = " libxaiengine aiefal" - -# For vek280 kind of devices -PACKAGE_ARCH:versal-ai-edge = "${SOC_VARIANT_ARCH}" -EXTRA_OECMAKE:append:versal-ai-edge = " -DXRT_AIE_BUILD=true" -TARGET_CXXFLAGS:append:versal-ai-edge = " -DXRT_ENABLE_AIE" -DEPENDS:append:versal-ai-edge = " libmetal libxaiengine aiefal" -RDEPENDS:${PN}:append:versal-ai-edge = " libxaiengine aiefal" -- cgit v1.2.3-54-g00ecf From 83d783a3d6e61a0c6b08f659bd076b23661ef0a1 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Thu, 20 Apr 2023 21:14:06 -0600 Subject: dt-processor: Fix dts generated files structure 1. Add AMD Copyright notice. 2. Fix pdi_path typo in usage. 3. If dt-processor is run with two different zynqmp/versal sdt dts then -linux.dts and multiconfig dts were overwritten. Generate dts files in build/conf/dts// directory instead of build/conf/dts. This way when user use same build directory for building different machine target it will avoid overwriting dts files. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- .../recipes-core/meta/files/dt-processor.sh | 56 +++++++++++----------- 1 file changed, 29 insertions(+), 27 deletions(-) diff --git a/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh b/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh index 939fabe4..2e457ac3 100755 --- a/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh +++ b/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh @@ -1,6 +1,7 @@ #! /bin/bash -# Copyright (c) 2021 Xilinx Inc +# Copyright (c) 2021-2022 Xilinx Inc +# Copyright (C) 2022-2023 Advanced Micro Devices, Inc. All rights reserved. # # Permission is hereby granted, free of charge, to any person obtaining a copy # of this software and associated documentation files (the "Software"), to deal @@ -42,7 +43,7 @@ $0 [-t ] Machine type: zynqmp or versal (usually auto detected) [-v ] SOC Variant: cg, dr, eg, ev, ai-prime, premium (usually auto detected) [-p ] Path to psu_init files, defaults to system_dts path - [-i ] Path to the pdi file + [-i ] Path to the pdi file [-l ] write local.conf changes to this file [-P ] Path to petalinux schema file @@ -199,7 +200,7 @@ cortex_a53_linux() { # Check if it is overlay dts otherwise just create linux dts ( - cd dts || error "Unable to cd to dts dir" + cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" if [ "${overlay_dts}" = "true" ]; then if [ "${external_fpga}" = "true" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f "${system_dts}" -- xlnx_overlay_dt ${machine} full \ @@ -232,7 +233,7 @@ cortex_a53_linux() { ## Generate a multiconfig cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" TMPDIR = "\${BASE_TMPDIR}/tmp-${mc_name}" EOF @@ -268,7 +269,7 @@ cortex_a53_baremetal() { # Build device tree ( - cd dts || error "Unable to cd to dts dir" + cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" if [ -n "${domain_file}" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-a53-imux.dts" "${system_dts}" "${dts_file}" \ @@ -309,7 +310,7 @@ EOF cat /dev/null >"${conf_file}" fi cat <>"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexa53" @@ -335,7 +336,7 @@ cortex_a53_freertos() { # Build device tree ( - cd dts || error "Unable to cd to dts dir" + cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" if [ -n "${domain_file}" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-a53-imux.dts" "${system_dts}" "${dts_file}" \ @@ -361,7 +362,7 @@ cortex_a53_freertos() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexa53" @@ -396,10 +397,10 @@ cortex_a72_linux() { fi ( - cd dts || error "Unable to cd to dts dir" + cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" # Check if it is overlay dts otherwise just create linux dts if [ "${overlay_dts}" = "true" ]; then - # As there is no partial support on Versal, As per fpga manager implementatin there is + # As there is no partial support on Versal, As per fpga manager implementation there is # a flag "external_fpga" which says apply overlay without loading the bit file. if [ "${external_fpga}" = "true" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f "${system_dts}" -- xlnx_overlay_dt \ @@ -432,7 +433,7 @@ cortex_a72_linux() { ## Generate a multiconfig cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" TMPDIR = "\${BASE_TMPDIR}/tmp-${mc_name}" EOF @@ -453,7 +454,7 @@ cortex_a72_baremetal() { # Build device tree ( - cd dts || error "Unable to cd to dts dir" + cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" if [ -n "${domain_file}" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-a72-imux.dts" "${system_dts}" "${dts_file}" \ @@ -479,7 +480,7 @@ cortex_a72_baremetal() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexa72" @@ -505,7 +506,7 @@ cortex_a72_freertos() { # Build device tree ( - cd dts || error "Unable to cd to dts dir" + cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" if [ -n "${domain_file}" ]; then LOPPER_DTC_FLAGS="-b 0 -@" lopper -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-a72-imux.dts" "${system_dts}" "${dts_file}" \ @@ -531,7 +532,7 @@ cortex_a72_freertos() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexa72" @@ -572,7 +573,7 @@ cortex_r5_baremetal() { # Build device tree ( - cd dts || error "Unable to cd to dts dir" + cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" if [ -n "$domain_file" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-r5-imux.dts" "${system_dts}" "${dts_file}" \ @@ -612,7 +613,7 @@ EOF cat /dev/null >"${conf_file}" fi cat <>"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexr5" @@ -638,7 +639,7 @@ cortex_r5_freertos() { # Build device tree ( - cd dts || error "Unable to cd to dts dir" + cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" if [ -n "$domain_file" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-r5-imux.dts" "${system_dts}" "${dts_file}" \ @@ -664,7 +665,7 @@ cortex_r5_freertos() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexr5" @@ -684,7 +685,7 @@ process_microblaze() { mkdir -p machine/include/${mach_conf} ( - cd dts || error "Unable to cd to dts dir" + cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -i "${lops_dir}/lop-microblaze-yocto.dts" "${system_dts}" \ || error "lopper failed" rm -f lop-microblaze-yocto.dts.dtb @@ -714,7 +715,7 @@ pmu-microblaze() { # Build device tree ( - cd dts || error "Unable to cd to dts dir" + cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f "${system_dts}" "${dts_file}" || error "lopper failed" ) @@ -732,7 +733,7 @@ pmu-microblaze() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" ESW_MACHINE = "$1" @@ -765,7 +766,7 @@ pmc-microblaze() { # Build device tree ( - cd dts || error "Unable to cd to dts dir" + cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f "${system_dts}" "${dts_file}" || error "lopper failed" ) @@ -783,7 +784,7 @@ pmc-microblaze() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" ESW_MACHINE = "$1" @@ -816,7 +817,7 @@ psm-microblaze() { # Build device tree ( - cd dts || error "Unable to cd to dts dir" + cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f "${system_dts}" "${dts_file}" || error "lopper failed" ) @@ -834,7 +835,7 @@ psm-microblaze() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" ESW_MACHINE = "$1" @@ -890,7 +891,7 @@ EOF cat <>"${conf_file}" # Set the default (linux) domain device tree -CONFIG_DTFILE ?= "\${TOPDIR}/conf/dts/${system_conf}" +CONFIG_DTFILE ?= "\${TOPDIR}/conf/dts/${mach_conf}/${system_conf}" CONFIG_DTFILE[vardepsexclude] += "TOPDIR" require conf/machine/${incmachine} @@ -1182,6 +1183,7 @@ fi detect_machine # Now that we know the machine name, we can create the directory mkdir -p machine/include/${mach_conf} +mkdir -p dts/${mach_conf} echo "System Configuration:" echo "MODEL = \"${model}\"" -- cgit v1.2.3-54-g00ecf From b8d3cac9e3a47a2a8629d7171ab0114d742409e3 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Thu, 11 May 2023 12:45:10 +0530 Subject: Updated Commit ID gen_yocto_machine.py: Add config option for INCLUDE_MACHINE_NAME gen-machineconf: Update Copyright year gen_yocto_machine.py: Include SOC_VARIANT specific machine file Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 9c3feba3..7d3c53a2 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 9c3feba3d65db92084d8342fbbc332e1cdca8973 +Subproject commit 7d3c53a29a55f4c54e72306865639f528b81be06 -- cgit v1.2.3-54-g00ecf From 0b51a1e51d6ca8c28e96d47e10945be60626f3ea Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 11 May 2023 12:49:36 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_5935 xilpm: versal_net: server: fix gcc warnings sw_services:xilnvm:Fix bug in reading PUF secure control bits bsp: standalone: Fixed source code-format issue. zynqmp_rpu_bsp: Fix standalone coverity static analysis sw_services: xilmailbox: Updated XIpiPs_PollforDone logic to improve AES client performance sw_services: xilsecure:Fixed MISRA C violation for Rule 10.3 sw_services: xilsecure:Fixed MISRA C violation for Rule 12.2 sw_services: xilsecure:Fixed MISRA C violation for Rule 2.5 sw_services: xilsecure:Fixed MISRA C violation for Rule 12.1 sw_services: xilsecure:Fixed MISRA C violation for Rule 8.3 csudma: examples: Add support for system device-tree flow csudma: Format examples using checkpatch scugic: Initialize priority of SGI and PPI interrupts Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 4a51f54d..8197f589 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "d59cf90656ccee564c031d881e20cf89256ae964" +ESW_REV[2023.2] = "838ac9a3a8e4636b827df504bd7f3e0a2c527f65" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 9a4ea3c3ea7272c67f220cbef6d4b8beb6cf8673 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 11 May 2023 16:06:29 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_7275 xilpm: versal_common: server: Add support for HNICx_NTHUB BISR xilpm: versal_common: server: Add support for FPDx BISR xilpm: versal_common: server: Add support for LPDx BISR dfeprach: Set NCO config for RCId=0 fix sw_apps: psmfw: versal_net: Add support of parallel build sw_apps: psmfw: versal: Add support of parallel build sw_services: xilnvm: Fix GCC warning sw_services: xilsecure: Add SHA384 KAT XilNvm: Handle the CDO command, whose payload is more than 8 words on chunk boundary sw_apps: Support empty application and hello world template for RISC-V sw_apps: Update copyright for empty application and hello world sw_apps: Add empty_application and hello_world templates Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 8197f589..1026cb83 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "838ac9a3a8e4636b827df504bd7f3e0a2c527f65" +ESW_REV[2023.2] = "fd5442970e5c0bbc84d0ce566c5b3befb8b40b67" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 60aa5d5b0fbf4e0be155a57b1082bc01e350f0ca Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 12 May 2023 15:24:36 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_3575 dfeprach: Dual and single mode calculation fix plm:versal: Remove dummy function in XILSEM interface xilsem: Adds support for versal net Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 1026cb83..cc95602a 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "fd5442970e5c0bbc84d0ce566c5b3befb8b40b67" +ESW_REV[2023.2] = "2a66ae6a303f2eecf20f0611468e6166d0d37e41" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 676a26e2d775306219ca95600721111fae6bb16f Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Sat, 13 May 2023 12:51:59 +0530 Subject: Updated SRCREV of dts for 2023.2_3007 versal: Add support for xqvm1502 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb index d51be681..61de6a6b 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb @@ -2,5 +2,5 @@ require qemu-devicetrees.inc BRANCH ?= "master" -SRCREV ?= "48b8c1361b4379393b41091f2c9a0ed03a2e8fe0" +SRCREV ?= "8e4c77b85fac2179b54834f976abd6fbda1534fd" -- cgit v1.2.3-54-g00ecf From 97c4f48bdf06c5a2e338c94a6d0e1de2171da79c Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Thu, 20 Apr 2023 21:14:07 -0600 Subject: device-tree: Move dt implementation from meta-xilinx-tools Move common dt implementation from meta-xilinx-tools to meta-xilinx-core layer. With this using extra dtsi and overlays feature can be used in both SDT and XSCT builds. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- .../recipes-bsp/device-tree/device-tree.bb | 52 ++++++++++++++++++++++ 1 file changed, 52 insertions(+) diff --git a/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb b/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb index 91a13fa1..fbc1797d 100644 --- a/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb +++ b/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb @@ -19,6 +19,17 @@ SYSTEM_DTFILE ??= "" CONFIG_DTFILE ??= "${SYSTEM_DTFILE}" DT_FILES_PATH = "${@os.path.dirname(d.getVar('CONFIG_DTFILE')) if d.getVar('CONFIG_DTFILE') else d.getVar('S')}" +EXTRA_DT_FILES ?= "" +EXTRA_DTFILE_PREFIX ?= "system-top" +EXTRA_DTFILES_BUNDLE ?= "" +UBOOT_DT_FILES ?= "" +UBOOT_DTFILE_PREFIX ?= "system-top" +UBOOT_DTFILES_BUNDLE ?= "" +EXTRA_OVERLAYS ?= "" + +SRC_URI:append = " ${@" ".join(["file://%s" % f for f in (d.getVar('EXTRA_DT_FILES') or "").split()])}" +SRC_URI:append = " ${@" ".join(["file://%s" % f for f in (d.getVar('EXTRA_OVERLAYS') or "").split()])}" + COMPATIBLE_MACHINE:zynq = ".*" COMPATIBLE_MACHINE:zynqmp = ".*" COMPATIBLE_MACHINE:versal = ".*" @@ -34,6 +45,47 @@ DTB_FILE_NAME = "${@os.path.basename(d.getVar('CONFIG_DTFILE')).replace('.dts', DTB_BASE_NAME ?= "${MACHINE}-system${IMAGE_VERSION_SUFFIX}" +do_configure:append () { + for f in ${EXTRA_DT_FILES}; do + cp ${WORKDIR}/${f} ${DT_FILES_PATH}/ + done + + for f in ${EXTRA_OVERLAYS}; do + cp ${WORKDIR}/${f} ${DT_FILES_PATH}/ + echo "/include/ \"$f\"" >> ${DT_FILES_PATH}/${BASE_DTS}.dts + done +} + +devicetree_do_compile:append() { + import subprocess + + dtb_file = d.getVar('DTB_FILE_NAME') or '' + if not dtb_file or not os.path.isfile(dtb_file): + return + + if d.getVar('EXTRA_DTFILES_BUNDLE'): + ccdtb_prefix = d.getVar('EXTRA_DTFILE_PREFIX') + extra_dt_files = d.getVar('EXTRA_DT_FILES').split() or [] + + for dtsfile in extra_dt_files: + dtname = os.path.splitext(os.path.basename(dtsfile))[0] + if os.path.isfile(f"{dtname}.dtbo"): + fdtargs = ["fdtoverlay", "-o", f"{ccdtb_prefix}-{dtname}.dtb", "-i", dtb_file, f"{dtname}.dtbo"] + bb.note("Running {0}".format(" ".join(fdtargs))) + subprocess.run(fdtargs, check = True, stdout=subprocess.PIPE, stderr=subprocess.STDOUT) + + if d.getVar('UBOOT_DTFILES_BUNDLE'): + uboot_ccdtb_prefix = d.getVar('UBOOT_DTFILE_PREFIX') + uboot_dt_files = d.getVar('UBOOT_DT_FILES').split() or [] + + for dtsfile in uboot_dt_files: + dtname = os.path.splitext(os.path.basename(dtsfile))[0] + if os.path.isfile(f"{dtname}.dtbo"): + fdtargs = ["fdtoverlay", "-o", f"{uboot_ccdtb_prefix}-{dtname}.dtb", "-i", dtb_file, f"{dtname}.dtbo"] + bb.note("Running {0}".format(" ".join(fdtargs))) + subprocess.run(fdtargs, check = True, stdout=subprocess.PIPE, stderr=subprocess.STDOUT) +} + FILES:${PN} += "/boot/system.dtb" devicetree_do_install:append() { if [ -n "${DTB_FILE_NAME}" ]; then -- cgit v1.2.3-54-g00ecf From ccff8f7cce68bcf5c0a2251f63200caea6adad98 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Thu, 20 Apr 2023 21:14:07 -0600 Subject: device-tree: Add documentation for key variables Add documentation for SYSTEM_DTFILE, CONFIG_DTFILE, EXTRA_DT_FILE. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb | 6 ++++++ 1 file changed, 6 insertions(+) diff --git a/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb b/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb index fbc1797d..df63d4a1 100644 --- a/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb +++ b/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb @@ -27,6 +27,11 @@ UBOOT_DTFILE_PREFIX ?= "system-top" UBOOT_DTFILES_BUNDLE ?= "" EXTRA_OVERLAYS ?= "" +SYSTEM_DTFILE[doc] = "System Device Tree which accepts at 0...1 dts file" +CONFIG_DTFILE[doc] = "Domain Specific Device Tree which accepts 0...1 dts file" +EXTRA_DT_FILES[doc] = "Add extra files to DT_FILES_PATH, it accepts 1...n dtsi files and adds to SRC_URI" +EXTRA_OVERLAYS[doc] = "Add extra files to DT_FILES_PATH and adds a #include for each to the BASE_DTS, it access 1..n dtsi files and adds to SRC_URI" + SRC_URI:append = " ${@" ".join(["file://%s" % f for f in (d.getVar('EXTRA_DT_FILES') or "").split()])}" SRC_URI:append = " ${@" ".join(["file://%s" % f for f in (d.getVar('EXTRA_OVERLAYS') or "").split()])}" @@ -87,6 +92,7 @@ devicetree_do_compile:append() { } FILES:${PN} += "/boot/system.dtb" + devicetree_do_install:append() { if [ -n "${DTB_FILE_NAME}" ]; then # If it's already a dtb, we have to copy from the original location -- cgit v1.2.3-54-g00ecf From fed09bb2f9a4d3b38e8b3452549e1e3cc2e555c9 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Tue, 25 Apr 2023 11:06:50 -0700 Subject: device-tree: Move from file CONFIG_DTFILE access to SRC_URI Move from declaring DT_FILES_PATH to the CONFIG_DTFILE dirname, to the default where it is set to S. This adds the requirement that CONFIG_DTFILE _must_ be self contained (or preprocessed). This prevents the system from compiling any other dts (or dtsi) files located in that directory, to only the ones we've specifically requested. Additionally this simplifies the check_devicetree_variables, as hashing and file validation are now done for us by the fetchers. Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb | 14 +++++--------- 1 file changed, 5 insertions(+), 9 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb b/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb index df63d4a1..4662c558 100644 --- a/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb +++ b/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb @@ -17,7 +17,6 @@ inherit devicetree image-artifact-names # system. SYSTEM_DTFILE ??= "" CONFIG_DTFILE ??= "${SYSTEM_DTFILE}" -DT_FILES_PATH = "${@os.path.dirname(d.getVar('CONFIG_DTFILE')) if d.getVar('CONFIG_DTFILE') else d.getVar('S')}" EXTRA_DT_FILES ?= "" EXTRA_DTFILE_PREFIX ?= "system-top" @@ -32,6 +31,11 @@ CONFIG_DTFILE[doc] = "Domain Specific Device Tree which accepts 0...1 dts file" EXTRA_DT_FILES[doc] = "Add extra files to DT_FILES_PATH, it accepts 1...n dtsi files and adds to SRC_URI" EXTRA_OVERLAYS[doc] = "Add extra files to DT_FILES_PATH and adds a #include for each to the BASE_DTS, it access 1..n dtsi files and adds to SRC_URI" +# There should only be ONE CONFIG_DTFILE listed +# These need to be passed in from global, not from a bbappend +FILESEXTRAPATHS:prepend := "${@'%s:' % os.path.dirname(d.getVar('CONFIG_DTFILE') or '') if (d.getVar('CONFIG_DTFILE')) else ''}" +SRC_URI:append := " ${@'file://%s' % os.path.basename(d.getVar('CONFIG_DTFILE') or '') if (d.getVar('CONFIG_DTFILE')) else ''}" + SRC_URI:append = " ${@" ".join(["file://%s" % f for f in (d.getVar('EXTRA_DT_FILES') or "").split()])}" SRC_URI:append = " ${@" ".join(["file://%s" % f for f in (d.getVar('EXTRA_OVERLAYS') or "").split()])}" @@ -131,14 +135,6 @@ def check_devicetree_variables(d): if not d.getVar('CONFIG_DTFILE'): raise bb.parse.SkipRecipe("CONFIG_DTFILE or SYSTEM_DTFILE is not defined.") - else: - if not os.path.exists(d.getVar('CONFIG_DTFILE')): - if not d.getVar('WITHIN_EXT_SDK'): - raise bb.parse.SkipRecipe("The device tree %s is not available." % d.getVar('CONFIG_DTFILE')) - else: - d.appendVar('SRC_URI', ' file://${CONFIG_DTFILE}') - d.setVarFlag('do_install', 'file-checksums', '${CONFIG_DTFILE}:True') - d.setVarFlag('do_deploy', 'file-checksums', '${CONFIG_DTFILE}:True') python() { # Need to allow bbappends to change the check -- cgit v1.2.3-54-g00ecf From b0efcddc5b3a35d143614698a39ecb5a9d134aac Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Tue, 25 Apr 2023 06:54:57 -0700 Subject: device-tree: Disable default virtual/kernel dependency KERNEL_INCLUDE implies a virtual/kernel dependency. Disable this, as our device-tree recipe does not use the Linux kernel. This greatly speeds up the build process. Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb b/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb index 4662c558..718857e8 100644 --- a/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb +++ b/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb @@ -9,6 +9,11 @@ LIC_FILES_CHKSUM = " \ file://${COMMON_LICENSE_DIR}/GPL-2.0-or-later;md5=fed54355545ffd980b814dab4a3b312c \ " +# Since we're not based on a kernel default to nothing. +# This needs to be before the devicetree inherit, as it configured for use +# with a linux kernel by default. +KERNEL_INCLUDE ?= "" + inherit devicetree image-artifact-names # Fall back to SYSTEM_DTFILE if specified... -- cgit v1.2.3-54-g00ecf From c3461c709721fb14cc64e691e3fbda80f01cb4ca Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Tue, 25 Apr 2023 13:41:51 -0700 Subject: u-boot-xlnx: Rename DTB_NAME to DTB_FILE_NAME Match the variable naming used in device-tree recipe, functionality remains the same. Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc | 6 +++--- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx.inc | 8 ++++---- 2 files changed, 7 insertions(+), 7 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc index 535641eb..3f32aa7e 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc @@ -101,7 +101,7 @@ do_blob_generate () { BASE_DTB=$(echo $CC_DTB | cut -d: -f2) OUTPUT_DTB=$(echo $CC_DTB | cut -d: -f3) if [ "${SYSTEM_DTB_BLOB}" == "1" ]; then - BASE_SYS_DTB="${RECIPE_SYSROOT}/${DTB_PATH}/${DTB_NAME}" + BASE_SYS_DTB="${RECIPE_SYSROOT}/${DTB_PATH}/${DTB_FILE_NAME}" else BASE_SYS_DTB="${B}/arch/arm/dts/${BASE_DTB}" fi @@ -125,13 +125,13 @@ do_blob_generate () { its_filename="dtblob.its" dtblob_emit_its_section "${its_filename}" "header" dtblob_emit_its_section "${its_filename}" "imagestart" - for dtb in ${RECIPE_SYSROOT}/${DTB_PATH}/${DTB_NAME} $(find ${DT_BLOB_DIR} -name '*.dtb' | sort); do + for dtb in ${RECIPE_SYSROOT}/${DTB_PATH}/${DTB_FILE_NAME} $(find ${DT_BLOB_DIR} -name '*.dtb' | sort); do dtblob_emit_dtb "${its_filename}" "$(basename $dtb .dtb)" "$dtb" done dtblob_emit_its_section "${its_filename}" "sectend" dtblob_emit_its_section "${its_filename}" "confstart" dtbcount=1 - for dtb in ${RECIPE_SYSROOT}/${DTB_PATH}/${DTB_NAME} $(find ${DT_BLOB_DIR} -name '*.dtb' | sort); do + for dtb in ${RECIPE_SYSROOT}/${DTB_PATH}/${DTB_FILE_NAME} $(find ${DT_BLOB_DIR} -name '*.dtb' | sort); do dtblob_emit_config "${its_filename}" "$(basename $dtb .dtb)" "$(basename $dtb .dtb)" "$dtbcount" dtbcount=`expr $dtbcount + 1` done diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx.inc index 10dfbb60..4c7ea934 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx.inc @@ -9,7 +9,7 @@ SYSROOT_DIRS += "/boot" BASE_DTS ?= "${@os.path.basename(d.getVar('CONFIG_DTFILE') or '').rstrip('.dtb').rstrip('.dts') or 'system-top'}" DTB_PATH ?= "boot/devicetree/" -DTB_NAME ?= "" +DTB_FILE_NAME ?= "" # This vairable is used for U-boot user specified dts from u-boot repo path # u-boot-xlnx/arch/arm/dts/versal-vck190-revA-x-ebm-01-revA.dts. @@ -17,7 +17,7 @@ DTB_NAME ?= "" # Example: UBOOT_USER_SPECIFIED_DTS = "versal-vck190-revA-x-ebm-01-revA" UBOOT_USER_SPECIFIED_DTS ?= "" -EXTRA_OEMAKE += "${@'EXT_DTB=${RECIPE_SYSROOT}/${DTB_PATH}/${DTB_NAME}' if (d.getVar('DTB_NAME') != '' and d.getVar('UBOOT_USER_SPECIFIED_DTS') == '') else '' }" +EXTRA_OEMAKE += "${@'EXT_DTB=${RECIPE_SYSROOT}/${DTB_PATH}/${DTB_FILE_NAME}' if (d.getVar('DTB_FILE_NAME') != '' and d.getVar('UBOOT_USER_SPECIFIED_DTS') == '') else '' }" EXTRA_OEMAKE += "${@'DEVICE_TREE=${UBOOT_USER_SPECIFIED_DTS}' if (d.getVar('UBOOT_USER_SPECIFIED_DTS') != '') else '' }" python __anonymous () { @@ -25,8 +25,8 @@ python __anonymous () { providerdtb = d.getVar("PREFERRED_PROVIDER_virtual/dtb") if providerdtb: d.appendVarFlag('do_configure', 'depends', ' virtual/dtb:do_populate_sysroot') - if d.getVar("DTB_NAME") is not None: - d.setVar('DTB_NAME', d.getVar('BASE_DTS')+ '.dtb') + if d.getVar("DTB_FILE_NAME") is not None: + d.setVar('DTB_FILE_NAME', d.getVar('BASE_DTS')+ '.dtb') } do_configure:prepend () { -- cgit v1.2.3-54-g00ecf From cfe79c4db1155280c905166d932ebf09a6a08b67 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Wed, 10 May 2023 14:05:45 -0600 Subject: device-tree: Fix EXTRA_DT_FILES and EXTRA_OVERLAYS If the WORKDIR == DT_FILES_PATH the copy operations will fail. Identify when this case happens and skip the copy as unnecessary. (This is the default configuration.) Signed-off-by: Mark Hatle Copy the EXTRA_DT_FILES and EXTRA_OVERLAYS files in prepend operation so that it can be preprocessed. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- .../recipes-bsp/device-tree/device-tree.bb | 26 ++++++++++++++++++---- 1 file changed, 22 insertions(+), 4 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb b/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb index 718857e8..a35df4ac 100644 --- a/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb +++ b/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb @@ -59,13 +59,31 @@ DTB_FILE_NAME = "${@os.path.basename(d.getVar('CONFIG_DTFILE')).replace('.dts', DTB_BASE_NAME ?= "${MACHINE}-system${IMAGE_VERSION_SUFFIX}" -do_configure:append () { - for f in ${EXTRA_DT_FILES}; do - cp ${WORKDIR}/${f} ${DT_FILES_PATH}/ +# Copy the EXTRA_DT_FILES and EXTRA_OVERLAYS files in prepend operation so that +# it can be preprocessed. +do_configure:prepend () { + # Create DT_FILES_PATH directory if doesn't exist during prepend operation. + if [ ! -d ${DT_FILES_PATH} ]; then + mkdir -p ${DT_FILES_PATH} + fi + + for f in ${EXTRA_DT_FILES} ${EXTRA_OVERLAYS}; do + if [ "$(realpath ${WORKDIR}/${f})" != "$(realpath ${DT_FILES_PATH}/`basename ${f}`)" ]; then + cp ${WORKDIR}/${f} ${DT_FILES_PATH}/ + fi done +} +do_configure:append () { for f in ${EXTRA_OVERLAYS}; do - cp ${WORKDIR}/${f} ${DT_FILES_PATH}/ + if [ ! -e ${DT_FILES_PATH}/${BASE_DTS}.dts ]; then + if [ -e ${DT_FILES_PATH}/${BASE_DTS}.dtb ]; then + bberror "Unable to find ${BASE_DTS}.dts, to use EXTRA_OVERLAYS you must use a 'dts' and not 'dtb' in CONFIG_DTFILE" + else + bberror "Unable to find ${BASE_DTS}.dts, to use EXTRA_OVERLAYS you must set a valid CONFIG_DTFILE or use system-top.dts" + fi + exit 1 + fi echo "/include/ \"$f\"" >> ${DT_FILES_PATH}/${BASE_DTS}.dts done } -- cgit v1.2.3-54-g00ecf From a6996cfd91c868b6570d6c8d11fe805ed3a63cdd Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Tue, 25 Apr 2023 18:13:18 -0700 Subject: device-tree: Copy BASE_DTS setting from u-boot, adjust functions BASE_DTS, and DTB_FILE_NAME default based on BASE_DTS, synced with u-boot-xlnx. This will unify the default configuration and ensure we get consistent results. Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb b/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb index a35df4ac..f403185f 100644 --- a/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb +++ b/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb @@ -23,6 +23,8 @@ inherit devicetree image-artifact-names SYSTEM_DTFILE ??= "" CONFIG_DTFILE ??= "${SYSTEM_DTFILE}" +BASE_DTS ?= "${@os.path.basename(d.getVar('CONFIG_DTFILE') or '').rstrip('.dtb').rstrip('.dts') or 'system-top'}" + EXTRA_DT_FILES ?= "" EXTRA_DTFILE_PREFIX ?= "system-top" EXTRA_DTFILES_BUNDLE ?= "" @@ -55,7 +57,7 @@ PROVIDES = "virtual/dtb" # common zynq include SRC_URI:append:zynq = " file://zynq-7000-qspi-dummy.dtsi" -DTB_FILE_NAME = "${@os.path.basename(d.getVar('CONFIG_DTFILE')).replace('.dts', '.dtb') if d.getVar('CONFIG_DTFILE') else ''}" +DTB_FILE_NAME ?= "${BASE_DTS}.dtb" DTB_BASE_NAME ?= "${MACHINE}-system${IMAGE_VERSION_SUFFIX}" -- cgit v1.2.3-54-g00ecf From ee7d79fd888995c229d932d3d54fc20d3ed60b81 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Tue, 9 May 2023 18:36:50 -0500 Subject: meta-xilinx-core: openamp: device-tree: Fix SRC_URI The SRC_URI setting is automatic with usage of EXTRA_OVERLAYS, plus the SRC_URI should have been an append. This could have brokenprevious SRC_URI settings so remove it and revert to default behavior. Signed-off-by: Mark Hatle --- .../openamp-layer/recipes-bsp/device-tree/device-tree.bbappend | 7 ------- 1 file changed, 7 deletions(-) diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/device-tree.bbappend b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/device-tree.bbappend index 4fd8b971..27887e88 100644 --- a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/device-tree.bbappend +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/device-tree.bbappend @@ -1,12 +1,5 @@ FILESEXTRAPATHS:prepend := "${THISDIR}/files:" -SRC_URI = " \ - file://zynq-openamp.dtsi \ - file://zynqmp-openamp.dtsi \ - file://versal-openamp.dtsi \ - file://versal-net-openamp.dtsi \ -" - # openamp.dtsi is in the WORKDIR DT_INCLUDE:append = " ${WORKDIR}" -- cgit v1.2.3-54-g00ecf From d87c3a9c03ffa00f80bdd274bf8a0bfba4d3ee07 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Tue, 9 May 2023 18:38:05 -0500 Subject: meta-xilinx-bsp: device-tree: Use EXTRA_OVERLAYS for provencore Signed-off-by: Mark Hatle --- meta-xilinx-bsp/recipes-bsp/device-tree/device-tree.bbappend | 8 +------- 1 file changed, 1 insertion(+), 7 deletions(-) diff --git a/meta-xilinx-bsp/recipes-bsp/device-tree/device-tree.bbappend b/meta-xilinx-bsp/recipes-bsp/device-tree/device-tree.bbappend index 9ab3f24e..fa4816af 100644 --- a/meta-xilinx-bsp/recipes-bsp/device-tree/device-tree.bbappend +++ b/meta-xilinx-bsp/recipes-bsp/device-tree/device-tree.bbappend @@ -4,10 +4,4 @@ FILESEXTRAPATHS:prepend := "${THISDIR}/files:" COMPATIBLE_MACHINE:qemu-zynq7 = ".*" SRC_URI:append:qemu-zynq7 = " file://qemu-zynq7.dts" -SRC_URI:append = "${@bb.utils.contains('MACHINE_FEATURES', 'provencore', ' file://pnc.dtsi', '', d)}" - -do_configure:append() { - if [ ${@bb.utils.contains('MACHINE_FEATURES', 'provencore', 'true', '', d)} ]; then - echo '#include "pnc.dtsi"' >> ${DT_FILES_PATH}/system-top.dts - fi -} +EXTRA_OVERLAYS:append = "${@bb.utils.contains('MACHINE_FEATURES', 'provencore', ' pnc.dtsi', '', d)}" -- cgit v1.2.3-54-g00ecf From b273c6865a1ab42e80bdf966ce9071cbb95363ff Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Wed, 10 May 2023 14:05:49 -0600 Subject: device-tree: Remove return and use bberror Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb b/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb index f403185f..8d7211f5 100644 --- a/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb +++ b/meta-xilinx-core/recipes-bsp/device-tree/device-tree.bb @@ -95,7 +95,7 @@ devicetree_do_compile:append() { dtb_file = d.getVar('DTB_FILE_NAME') or '' if not dtb_file or not os.path.isfile(dtb_file): - return + bb.error("Expected file ${DTB_FILE_NAME} doesn't exist") if d.getVar('EXTRA_DTFILES_BUNDLE'): ccdtb_prefix = d.getVar('EXTRA_DTFILE_PREFIX') -- cgit v1.2.3-54-g00ecf From 74b766d23cf35e25c10586d8f83b841b775e3a10 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Fri, 12 May 2023 09:19:32 -0500 Subject: meta-xilinx-core: openamp: device-tree: Fix missing space A missing space was causing: Unable to get checksum for device-tree SRC_URI entry system.dtsizynqmp-openamp.dtsi: file could not be found Switch from expanding the value to using the variable. This ensure checksums are preserved. Signed-off-by: Mark Hatle --- .../openamp-layer/recipes-bsp/device-tree/device-tree.bbappend | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/device-tree.bbappend b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/device-tree.bbappend index 27887e88..7dcee565 100644 --- a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/device-tree.bbappend +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-bsp/device-tree/device-tree.bbappend @@ -14,7 +14,7 @@ def set_openamp_extra_overlays(d): distro_features = d.getVar('DISTRO_FEATURES', True) enable_openamp_dtsi = d.getVar('ENABLE_OPENAMP_DTSI') if 'openamp' in distro_features and enable_openamp_dtsi == '1': - return d.getVar('OPENAMP_EXTRA_OVERLAYS', True) + return ' ${OPENAMP_EXTRA_OVERLAYS}' else: return '' -- cgit v1.2.3-54-g00ecf From 9eb6a8ad35c45edca4fbc19d14ba288ad5c10383 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 15 May 2023 12:50:21 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_6027 plm:versal: Add STL invocation in hook after PMC CDO Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index cc95602a..3aa485fc 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "2a66ae6a303f2eecf20f0611468e6166d0d37e41" +ESW_REV[2023.2] = "1cb3b109609a50288a053f952b59f8609004256c" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 635889422b1c69c20514c2aa24f9ab42d841d132 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Wed, 17 May 2023 17:07:12 +0530 Subject: Updated Commit ID gen_plnx_machine.py: Use :linux override for EXTRA_DT_FILES Kconfig-aarch64.part:rootfsconfig_zynqmp: Remove watchdog-init menu config entry in rootfs config Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 7d3c53a2..deb7e943 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 7d3c53a29a55f4c54e72306865639f528b81be06 +Subproject commit deb7e943229b26825d61664e19fdb40d5a098e32 -- cgit v1.2.3-54-g00ecf From 02eaaa2b1078cd0994783a4c9cd33d2bd5f976e4 Mon Sep 17 00:00:00 2001 From: Swagath Gadde Date: Tue, 16 May 2023 12:53:58 +0530 Subject: meta-xilinx-core:boot.cmd.generic: update boot script. update the generic boot script to support xspi1 for versal-net platform. Signed-off-by: Swagath Gadde Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.generic | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.generic b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.generic index ff2a5688..2459d06b 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.generic +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.generic @@ -51,7 +51,7 @@ do fi @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ - @@DEVICETREE_ADDRESS@@ fi - if test "${boot_target}" = "xspi0" || test "${boot_target}" = "qspi" || test "${boot_target}" = "qspi0"; then + if test "${boot_target}" = "xspi0" || test "${boot_target}" = "xspi1" || test "${boot_target}" = "qspi" || test "${boot_target}" = "qspi0"; then sf probe 0 0 0; sf read @@FIT_IMAGE_LOAD_ADDRESS@@ @@QSPI_FIT_IMAGE_OFFSET@@ @@QSPI_FIT_IMAGE_SIZE@@ bootm @@FIT_IMAGE_LOAD_ADDRESS@@; -- cgit v1.2.3-54-g00ecf From 3ddf0cd24931bcd42fff57fbf4b3adc379dc4949 Mon Sep 17 00:00:00 2001 From: rbramand Date: Tue, 16 May 2023 14:36:11 +0530 Subject: Update xrt, zocl commit id changelog: Moved from 2023.1 branch to master for 2023.2 release Signed-off-by: rbramand Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 3b150673..8be362e0 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -2,7 +2,7 @@ REPO ?= "git://github.com/Xilinx/XRT.git;protocol=https" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" -BRANCH= "2023.1" -SRCREV= "64c933573e7e50a8aba939a74209590c2b739e8b" -PV = "202310.2.15.0" +BRANCH= "master" +SRCREV= "762d126e3c15f9f1f6f3867940f01fb0c7abc451" +PV = "202320.2.16.0" -- cgit v1.2.3-54-g00ecf From 7d8e39084a7db11c267a2ecd10d2deceba02b20c Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Tue, 16 May 2023 13:23:00 -0700 Subject: *-generic.conf: Fix hardcoded dependencies in the generic machines Each of these dependencies need to be based on preferred_provider. A hard dependency on u-boot-xlnx-uenv is not required to use qemu. Signed-off-by: Mark Hatle --- meta-xilinx-core/conf/machine/microblaze-generic.conf | 2 +- meta-xilinx-core/conf/machine/versal-generic.conf | 2 +- meta-xilinx-core/conf/machine/zynq-generic.conf | 2 +- meta-xilinx-core/conf/machine/zynqmp-generic.conf | 4 +--- 4 files changed, 4 insertions(+), 6 deletions(-) diff --git a/meta-xilinx-core/conf/machine/microblaze-generic.conf b/meta-xilinx-core/conf/machine/microblaze-generic.conf index 2589da04..db1476ed 100644 --- a/meta-xilinx-core/conf/machine/microblaze-generic.conf +++ b/meta-xilinx-core/conf/machine/microblaze-generic.conf @@ -70,7 +70,7 @@ MACHINE_FEATURES = "" KERNEL_IMAGETYPE ?= "linux.bin.ub" KERNEL_IMAGETYPES = "" -MACHINE_ESSENTIAL_EXTRA_RDEPENDS += "device-tree" +MACHINE_ESSENTIAL_EXTRA_RDEPENDS += "${PREFERRED_PROVIDER_virtual/dtb}" IMAGE_BOOT_FILES += " \ ${@bb.utils.contains('PREFERRED_PROVIDER_virtual/dtb', 'device-tree', 'system.dtb', '', d)} \ diff --git a/meta-xilinx-core/conf/machine/versal-generic.conf b/meta-xilinx-core/conf/machine/versal-generic.conf index e44e99f2..3bf38b23 100644 --- a/meta-xilinx-core/conf/machine/versal-generic.conf +++ b/meta-xilinx-core/conf/machine/versal-generic.conf @@ -47,7 +47,7 @@ HDF_MACHINE = "vck190-versal" MACHINE_FEATURES += "rtc ext2 ext3 vfat usbhost" -MACHINE_ESSENTIAL_EXTRA_RDEPENDS += "device-tree" +MACHINE_ESSENTIAL_EXTRA_RDEPENDS += "${PREFERRED_PROVIDER_virtual/dtb}" # Default SD image build onfiguration, use qemu-sd to pad IMAGE_CLASSES += "image-types-xilinx-qemu" diff --git a/meta-xilinx-core/conf/machine/zynq-generic.conf b/meta-xilinx-core/conf/machine/zynq-generic.conf index df82a683..0409e4ba 100644 --- a/meta-xilinx-core/conf/machine/zynq-generic.conf +++ b/meta-xilinx-core/conf/machine/zynq-generic.conf @@ -46,7 +46,7 @@ HDF_MACHINE = "zc702-zynq7" MACHINE_FEATURES += "rtc ext2 ext3 vfat usbhost usbgadget" -MACHINE_ESSENTIAL_EXTRA_RDEPENDS += "device-tree" +MACHINE_ESSENTIAL_EXTRA_RDEPENDS += "${PREFERRED_PROVIDER_virtual/dtb}" EXTRA_IMAGEDEPENDS += " \ libyaml-native \ diff --git a/meta-xilinx-core/conf/machine/zynqmp-generic.conf b/meta-xilinx-core/conf/machine/zynqmp-generic.conf index d24da3b4..5faa3095 100644 --- a/meta-xilinx-core/conf/machine/zynqmp-generic.conf +++ b/meta-xilinx-core/conf/machine/zynqmp-generic.conf @@ -65,7 +65,7 @@ IMAGE_CLASSES += "image-types-xilinx-qemu" # Add wic.qemu-sd only if initramfs_image not set due to circular dependecies IMAGE_FSTYPES += "${@'wic.qemu-sd' if (d.getVar('INITRAMFS_IMAGE') or '') == '' else 'cpio.gz.u-boot'}" -MACHINE_ESSENTIAL_EXTRA_RDEPENDS += "device-tree" +MACHINE_ESSENTIAL_EXTRA_RDEPENDS += "${PREFERRED_PROVIDER_virtual/dtb}" EXTRA_IMAGEDEPENDS += " \ libyaml-native \ @@ -150,8 +150,6 @@ QB_PMU_OPT = " \ QB_OPT_APPEND += " -pmu-args '${QB_PMU_OPT}'" -do_write_qemuboot_conf[depends] += "u-boot-xlnx-uenv:do_deploy" - #### No additional settings should be after the Postamble #### Postamble PACKAGE_EXTRA_ARCHS:append = "${@['', ' zynqmp_generic']['zynqmp-generic' != "${MACHINE}"]}" -- cgit v1.2.3-54-g00ecf From e6c7b309288af283bb6edcad8175b9896d7e21e9 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Tue, 16 May 2023 17:10:16 -0600 Subject: vek280-versal: Fix QEMU PLM boot issue QEMU PLM error code are observed as shown below. [21148.520]XPlmi_MaskPoll: Addr: 0xF6D1010C, Mask: 0x1, ExpVal: 0x1, Timeout: 1000000 ...ERROR [21149.542]CMD: 0x00040101 execute failed, Processed Cdo Length 0x468 [21150.294]CMD Payload START, Len:0x00000004 0x00000000F200048C: 0xF6D1010C 0x00000001 0x00000001 0x00001000 0x00000000F2000498: [21151.698]CMD Payload END [21152.876]PLM Error Status: 0x21010001 [21153.347]============Register Dump============ [21153.885]PMC_TAP_IDCODE: 0x00000000 [21154.325]EFUSE_CACHE_IP_DISABLE_0(EXTENDED IDCODE): 0x00000000 [21155.023]PMC_TAP_VERSION: 0x03000000 [21155.450]CRP_BOOT_MODE_USER: 0x00000005 [21155.902]CRP_BOOT_MODE_POR: 0x00000005 [21156.354]CRP_RESET_REASON: 0x00000202 [21156.815]PMC_GLOBAL_PMC_MULTI_BOOT: 0xF0000000 [21157.351]PMC_GLOBAL_PWR_STATUS: 0x00000000 [21157.849]PMC_GLOBAL_PMC_GSW_ERR: 0x00000000 [21158.358]PMC_GLOBAL_PLM_ERR: 0x00000000 [21158.842]PMC_GLOBAL_PMC_ERR1_STATUS: 0x00000000 [21159.409]PMC_GLOBAL_PMC_ERR2_STATUS: 0x00000000 [21159.976]PMC_GLOBAL_GICP0_IRQ_STATUS: 0x20000000 [21160.542]PMC_GLOBAL_GICP1_IRQ_STATUS: 0x00000000 [21161.115]PMC_GLOBAL_GICP2_IRQ_STATUS: 0x00000000 [21161.695]PMC_GLOBAL_GICP3_IRQ_STATUS: 0x00000000 [21162.271]PMC_GLOBAL_GICP4_IRQ_STATUS: 0x00000000 [21162.852]PMC_GLOBAL_GICP_PMC_IRQ_STATUS: 0x00000000 [21163.439]============Register Dump============ This is due to incorrect QEMU_HW_DTB_PS is set for VEK280 machine conf file. 1. Fix QEMU PLM boot issue by setting the right QEMU_HW_DTB_PS to board-versal-ps-vek280.dtb. 2. Update YAML_DT_BOARD_FLAGS to use versal-vek280-revb. 3. Set QB_MEM to 12G as VEK280 board has 12GB memory. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-bsp/conf/machine/vek280-versal.conf | 12 +++++++++++- 1 file changed, 11 insertions(+), 1 deletion(-) diff --git a/meta-xilinx-bsp/conf/machine/vek280-versal.conf b/meta-xilinx-bsp/conf/machine/vek280-versal.conf index b533b833..457a49d6 100644 --- a/meta-xilinx-bsp/conf/machine/vek280-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vek280-versal.conf @@ -12,7 +12,7 @@ MACHINEOVERRIDES =. "${@['', 'vek280-versal:']['vek280-versal' !='${MACHINE}']}" # Yocto device-tree variables YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree ?= "CIPS_0_pspmc_0_psv_sbsauart_0" -YAML_DT_BOARD_FLAGS ?= "{BOARD versal-vek280-reva}" +YAML_DT_BOARD_FLAGS ?= "{BOARD versal-vek280-revb}" # Yocto PLM variables YAML_SERIAL_CONSOLE_STDIN:pn-plm-firmware ?= "CIPS_0_pspmc_0_psv_sbsauart_0" @@ -30,6 +30,16 @@ require conf/machine/versal-ai-edge-generic.conf # from local.conf. HDF_MACHINE = "vek280-versal" +# VEK280 board has 12GB memory only but default versal-generic has QB_MEM set to +# 8G, Hence we need set 12G in QB_MEM. +QB_MEM = "-m 12G" + +QEMU_HW_DTB_PS = "${QEMU_HW_DTB_PATH}/board-versal-ps-vek280.dtb" +QEMU_HW_DTB_PMC = "${QEMU_HW_DTB_PATH}/board-versal-pmc-virt.dtb" + +# Yocto MACHINE_FEATURES Variable +MACHINE_FEATURES += "vdu" + #### No additional settings should be after the Postamble #### Postamble PACKAGE_EXTRA_ARCHS:append = "${@['', 'vek280_versal']['vek280-versal' != '${MACHINE}']}" \ No newline at end of file -- cgit v1.2.3-54-g00ecf From 21a22832565517a1811b741911afa7e265cb8591 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Tue, 16 May 2023 17:10:17 -0600 Subject: README: Update VEK280 HW board device tree Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-bsp/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-bsp/README.md b/meta-xilinx-bsp/README.md index 29177ad7..b960c1bc 100644 --- a/meta-xilinx-bsp/README.md +++ b/meta-xilinx-bsp/README.md @@ -43,7 +43,7 @@ The following boards are supported by the meta-xilinx-bsp layer: | | [VCK5000](https://www.xilinx.com/products/boards-and-kits/vck5000.html) | [vck5000-versal](conf/machine/vck5000-versal.conf) | `vck5000-versal` | `versal-vck5000-reva-x-ebm-01-reva` | No | No | | | [VPK120](https://www.xilinx.com/products/boards-and-kits/vpk120.html) | [vpk120-versal](conf/machine/vpk120-versal.conf) | `vpk120-versal` | `versal-vpk120-reva` | Yes | Yes | | | [VPK180](https://www.xilinx.com/products/boards-and-kits/vpk180.html) | [vpk180-versal](conf/machine/vpk180-versal.conf) | `vpk180-versal` | `versal-vpk180-reva` | Yes | Yes | -| | [VEK280](https://www.xilinx.com/products/boards-and-kits/vek280.html) | [vek280-versal](conf/machine/vek280-versal.conf) | `vek280-versal` | `versal-vek280-reva` | Yes | Yes | +| | [VEK280](https://www.xilinx.com/products/boards-and-kits/vek280.html) | [vek280-versal](conf/machine/vek280-versal.conf) | `vek280-versal` | `versal-vek280-revb` | Yes | Yes | | | [VHK158](https://www.xilinx.com/products/boards-and-kits/vhk158.html) | [vhk158-versal](conf/machine/vhk158-versal.conf) | `vhk158-versal` | `versal-vhk158-reva` | Yes | Yes | > **Note:** Additional information on Xilinx architectures can be found at: -- cgit v1.2.3-54-g00ecf From 7962a95e60205c5d0f12f5fc8ba354ee3cb4df12 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 19 May 2023 10:24:05 +0530 Subject: Updated SRCREV of arm-trusted-firmware for 2023.2_5395 feat(zynqmp): make stack size configurable feat(zynqmp): add hooks for custom runtime setup Signed-off-by: Siva Addepalli --- .../recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb index 3576ae5d..2bcbe7d4 100644 --- a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb @@ -1,5 +1,5 @@ ATF_VERSION = "2.8" -SRCREV = "c482195bb98f49fb502b606cc3b2a37c8ec5cedb" +SRCREV = "c9b71dc96f3f18ca94cad590612aae3224c8c84d" BRANCH = "xlnx_rebase_v2.8" LIC_FILES_CHKSUM = "file://license.rst;md5=1dd070c98a281d18d9eefd938729b031" -- cgit v1.2.3-54-g00ecf From df85ba08e72ad318c943f642f23abdf608360c1b Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 19 May 2023 15:09:11 +0530 Subject: Updated SRCREV for 2023.2_3567 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 49959d28..86d2d089 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rel_2023.2-next" -SRCREV = "9afbec02663ee0ddac4d7377cf36993adee2cb8a" +SRCREV = "3e3ec0db0559cf2c4e5aa0cbd39871d1a1874470" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 3aa485fc..5511c678 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "1cb3b109609a50288a053f952b59f8609004256c" +ESW_REV[2023.2] = "52c08574a1bf82b0cad10237102d8518f943c15f" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From a44993c264b98af526adcec3e1bddbd000c230fa Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 23 May 2023 12:50:47 +0530 Subject: Updated SRCREV for 2023.2_5223 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb | 2 +- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb index 61de6a6b..af8a1337 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb @@ -2,5 +2,5 @@ require qemu-devicetrees.inc BRANCH ?= "master" -SRCREV ?= "8e4c77b85fac2179b54834f976abd6fbda1534fd" +SRCREV ?= "c01252137059170d8677752edff6db570ffc1bd7" diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 5511c678..27e4f23c 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "52c08574a1bf82b0cad10237102d8518f943c15f" +ESW_REV[2023.2] = "c4f39dd7a8bc95705d805ca9502a5cacd5fceceb" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 973e9ecd7aa969f0f544de7a2040571cdf200a32 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 24 May 2023 19:03:09 +0530 Subject: Updated SRCREV of linux-xlnx for 2023.2_3119 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 6740a459..9e715dfe 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.5" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "716921b6d7dc9db49660369428fb61ca96947ccb" +SRCREV = "fab1cba41042423f48852bb244fa5a2c5774d293" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 7d8beda9a5f4e0db9e9d7046f4f3cbd298c95e55 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Wed, 24 May 2023 15:22:29 -0500 Subject: u-boot-xlnx: Move to 2023.2 rebase branch Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 86d2d089..3d644c2d 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -1,8 +1,8 @@ UBOOT_VERSION = "v2023.01" -UBRANCH = "xlnx_rel_2023.2-next" +UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "3e3ec0db0559cf2c4e5aa0cbd39871d1a1874470" +SRCREV = "4e87c60497d76ea1ab8f1c077661becc6d956f2b" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From d80a2ecf0a485a375adbd8861a33f5ce85d1a49b Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Thu, 18 May 2023 12:03:24 -0600 Subject: qemu-xilinx-system-native: Fix license check when empty LICENSE_FLAGS_ACCEPTED Fix an issue that results in: Traceback (most recent call last): File "Var ", line 1, in bb.data_smart.ExpansionError: Failure expanding variable DEPENDS, expression was pkgconfig-native glib-2.0-native zlib-native ninja-native meson-native pixman-native qemu-xilinx-native bison-native ninja-native meson-native qemu-xilinx-multiarch-helper-native${@' pmu-rom-native' if 'xilinx' in d.getVar('LICENSE_FLAGS_ACCEPTED').split() else ''} alsa-lib-native dtc-native libgcrypt-native libslirp-native which triggered exception AttributeError: 'NoneType' object has no attribute 'split' The variable dependency chain for the failure is: DEPENDS This only occurs if no LICENSE_FLAGS_ACCEPTED is defined. This should not be a blocking error. Instead the user is warned of reduced functionality and we continue the build. Signed-off-by: Mark Hatle --- .../recipes-devtools/qemu/qemu-xilinx-system-native_%.bbappend | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_%.bbappend b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_%.bbappend index 0444dbab..91b223b9 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_%.bbappend +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_%.bbappend @@ -1,2 +1,2 @@ # Automatically enable pmu-rom-native for ZynqMP support, or warn the user -DEPENDS .= "${@' pmu-rom-native' if 'xilinx' in d.getVar('LICENSE_FLAGS_ACCEPTED').split() else ''}" +DEPENDS .= "${@' pmu-rom-native' if 'xilinx' in (d.getVar('LICENSE_FLAGS_ACCEPTED') or '').split() else ''}" -- cgit v1.2.3-54-g00ecf From a174f1216b601d84245fbb99468edd7ef1c7071c Mon Sep 17 00:00:00 2001 From: Appana Durga Kedareswara rao Date: Fri, 19 May 2023 17:44:59 +0530 Subject: meta-xilinx-standalone-experimental: conf: dtb-embeddedsw.inc: Update branch and srcrev for 2023.2 release Below are the updates: 742a608800e7 create_bsp: Remove references to /dev/null 826fcc503554 settings.sh: Changing version from 2023.1 to 2023.2 51adc44151e7 scripts: rigel_scripts: regen_linker: Add script for regenerating linker b5e2f5e9b887 dmaps: Remove arm,primecell compat string from compatible list 89767030a151 rigel_scripts: copy psu_init* files for zynqmp_fsbl app 2a448b31f000 scripts: rigel_scritps: build_app: Remove unneeded repo handling from build_app 1d85f3d87ffd scripts: rigel_scripts: Handle drivers which are part of sdt folder 23891dfcfdad scripts: rigel_scripts: create_example: Add support for compiling library or driver examples 88b749d8e8c6 scripts: rigel_scripts: Update get_high_precedence_path API to use concatenate paths cade1b610ba4 scripts: rigel_scripts: Add support for library examples meta-data 49df9535433a scripts: rigel_scripts: load_example: Add support for driver example meta-data 3169cabf8576 scripts: rigel_scripts: Update the bsp.yaml domain drv_info with hardware instance instead of driver f81d03153a45 create_bsp: Adding libsrc subdir properly by correcting cmake syntax 1ede8254fb6e *.py: Fixing the timing issues with library addition and configuration 787efbe8dd0f sw_services:xilsecure:Fix passing device id to xilmailbox library 27d8798fb1f0 scripts: rigel_scripts: Workaround for windows support enablement 3a2ce07f49ed scripts: rigel_scripts: Use GNU Makefile generator Signed-off-by: Appana Durga Kedareswara rao Signed-off-by: Mark Hatle --- meta-xilinx-standalone-experimental/conf/dtb-embeddedsw.inc | 8 ++++---- .../recipes-core/meta/files/dt-processor.sh | 8 ++++---- 2 files changed, 8 insertions(+), 8 deletions(-) diff --git a/meta-xilinx-standalone-experimental/conf/dtb-embeddedsw.inc b/meta-xilinx-standalone-experimental/conf/dtb-embeddedsw.inc index b252e609..2ddb173d 100644 --- a/meta-xilinx-standalone-experimental/conf/dtb-embeddedsw.inc +++ b/meta-xilinx-standalone-experimental/conf/dtb-embeddedsw.inc @@ -2,13 +2,13 @@ # meta-xilinx/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass # Make it clear decoupling is 'experimental' in the version -ESW_VER = "2023.1_sdt_experimental" +ESW_VER = "2023_sdt_experimental" REPO = "git://github.com/Xilinx/embeddedsw-experimental-dt-support.git;protocol=https" -ESW_BRANCH[2023.1_sdt_experimental] = "xlnx_rel_v2023.1_sdt" -ESW_REV[2023.1_sdt_experimental] = "b47bfef27d7af0690add590b9c98e6fc51a1a1f6" +ESW_BRANCH[2023_sdt_experimental] = "xlnx_rel_v2023.2_sdt" +ESW_REV[2023_sdt_experimental] = "742a608800e7621fb7c376daf5124333b5826d6d" LIC_FILES_CHKSUM[master] = '7c92de7a21a6613265035c28f4a92f48' LIC_FILES_CHKSUM[xlnx_rel_v2022.1_sdt_experimental_beta] = 'e26f53a7d6f58f4b1a9687099417225c' LIC_FILES_CHKSUM[xlnx_rel_v2023.1_sdt_experimental] = 'ce611484168a6000bd35df68fc4f4290' -LIC_FILES_CHKSUM[xlnx_rel_v2023.1_sdt] = 'ce611484168a6000bd35df68fc4f4290' +LIC_FILES_CHKSUM[xlnx_rel_v2023.2_sdt] = 'ce611484168a6000bd35df68fc4f4290' diff --git a/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh b/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh index 2e457ac3..1da55b8e 100755 --- a/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh +++ b/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh @@ -970,10 +970,10 @@ EOF cat <>"${conf_file}" # Enable the correct version of the firmware components -PREFERRED_VERSION_fsbl-firmware = "2023.1_sdt_experimental%" -PREFERRED_VERSION_pmu-firmware = "2023.1_sdt_experimental%" -PREFERRED_VERSION_plm-firmware = "2023.1_sdt_experimental%" -PREFERRED_VERSION_psm-firmware = "2023.1_sdt_experimental%" +PREFERRED_VERSION_fsbl-firmware = "2023_sdt_experimental%" +PREFERRED_VERSION_pmu-firmware = "2023_sdt_experimental%" +PREFERRED_VERSION_plm-firmware = "2023_sdt_experimental%" +PREFERRED_VERSION_psm-firmware = "2023_sdt_experimental%" # Exclude BASE_TMPDIR from hash calculations BB_HASHEXCLUDE_COMMON:append = " BASE_TMPDIR" -- cgit v1.2.3-54-g00ecf From a0ff9b1f276979e2b6127828235d02aa873eff60 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Wed, 24 May 2023 10:32:39 -0600 Subject: meta-xilinx-standalone-experimental: dmaps: Update recipe to fix dependency loop The recipe has not been updated since originally created. This was triggering a dependency loop since it has not been updated to the current format. Signed-off-by: Mark Hatle --- .../recipes-drivers/dmaps_git.bb | 19 +++++++++++++++++-- 1 file changed, 17 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-standalone-experimental/recipes-drivers/dmaps_git.bb b/meta-xilinx-standalone-experimental/recipes-drivers/dmaps_git.bb index 0891fb86..1dcd8404 100644 --- a/meta-xilinx-standalone-experimental/recipes-drivers/dmaps_git.bb +++ b/meta-xilinx-standalone-experimental/recipes-drivers/dmaps_git.bb @@ -1,3 +1,18 @@ -inherit esw_examples +inherit features_check -ESW_COMPONENT_SRC = "/XilinxProcessorIPLib/drivers/dmaps/examples/" +REQUIRED_MACHINE_FEATURES = "dmaps" + +inherit esw python3native + +DEPENDS += "xilstandalone " + +ESW_COMPONENT_SRC = "/XilinxProcessorIPLib/drivers/dmaps/src/" +ESW_COMPONENT_NAME = "libdmaps.a" + +addtask do_generate_driver_data before do_configure after do_prepare_recipe_sysroot +do_prepare_recipe_sysroot[rdeptask] = "do_unpack" + +do_configure:prepend() { + LOPPER_DTC_FLAGS="-b 0 -@" lopper ${DTS_FILE} -- baremetal_xparameters_xlnx.py ${ESW_MACHINE} ${S} + install -m 0755 xparameters.h ${S}/${ESW_COMPONENT_SRC}/ +} -- cgit v1.2.3-54-g00ecf From 79d185e74ca25c3a2375dda79cd06982aef3c488 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Sat, 27 May 2023 12:46:34 +0530 Subject: Updated SRCREV of u-boot-xlnx for 2023.2_2199 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 3d644c2d..d7102398 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "4e87c60497d76ea1ab8f1c077661becc6d956f2b" +SRCREV = "e48889975305d7825357188407515b07f18195f4" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From 60de297f3ec6a37153cfe301846cabdbd0de7257 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Sun, 28 May 2023 09:55:48 +0530 Subject: Updated SRCREV for 2023.2_1891 Signed-off-by: Siva Addepalli --- .../recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb | 2 +- meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb | 2 +- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 3 files changed, 3 insertions(+), 3 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb index 2bcbe7d4..d26213c5 100644 --- a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb @@ -1,5 +1,5 @@ ATF_VERSION = "2.8" -SRCREV = "c9b71dc96f3f18ca94cad590612aae3224c8c84d" +SRCREV = "ac281821d7dc15ec3fa8ca5d73f9b0ac2284e8d7" BRANCH = "xlnx_rebase_v2.8" LIC_FILES_CHKSUM = "file://license.rst;md5=1dd070c98a281d18d9eefd938729b031" diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb index af8a1337..bc45e330 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb @@ -2,5 +2,5 @@ require qemu-devicetrees.inc BRANCH ?= "master" -SRCREV ?= "c01252137059170d8677752edff6db570ffc1bd7" +SRCREV ?= "987676c3c781d261279e00c0d21e5d1d0638a756" diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 27e4f23c..cc1e3160 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "c4f39dd7a8bc95705d805ca9502a5cacd5fceceb" +ESW_REV[2023.2] = "ca4d918ea4675d8eba73c37d4de4d598e3f87104" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From a0cfd3ab871ccf0cbf7e2dc5400631a24dfe8b66 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 29 May 2023 12:50:09 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_1759 Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index cc1e3160..30071bdd 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "ca4d918ea4675d8eba73c37d4de4d598e3f87104" +ESW_REV[2023.2] = "dcbff5556c6412880a4d7d37e0961bd0dc5de41d" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From c0fb636ce4eaa5baa1d8b332abc7b80f3d6a2ad2 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 29 May 2023 14:49:06 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_2091 Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 30071bdd..cc1e3160 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "dcbff5556c6412880a4d7d37e0961bd0dc5de41d" +ESW_REV[2023.2] = "ca4d918ea4675d8eba73c37d4de4d598e3f87104" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 7b20e38023ac439c10253b50ab5907b912167e57 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 30 May 2023 16:29:19 +0530 Subject: Updated SRCREV of u-boot-xlnx for 2023.2_4071 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index d7102398..3d644c2d 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "e48889975305d7825357188407515b07f18195f4" +SRCREV = "4e87c60497d76ea1ab8f1c077661becc6d956f2b" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From 46b040d8cc3cacba4b725b6760102841ed7a318f Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 31 May 2023 11:08:52 +0530 Subject: Updated SRCREV of qemu for 2023.2_2543 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc index 8dcb9074..f18ea094 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc @@ -1,3 +1,3 @@ XILINX_QEMU_VERSION = "v7.1.0" BRANCH = "master" -SRCREV = "0dd5317ab0e759bf51f7cb6e26f7546426b277ec" +SRCREV = "174fc3b9c65ab66132d3ddf1e05c0dfc14a8edfd" -- cgit v1.2.3-54-g00ecf From 9c53308ac9a833931074d8554b4a185a5534a396 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 31 May 2023 16:03:50 +0530 Subject: Updated SRCREV of u-boot-xlnx for 2023.2_6211 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 3d644c2d..d7102398 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "4e87c60497d76ea1ab8f1c077661becc6d956f2b" +SRCREV = "e48889975305d7825357188407515b07f18195f4" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From e8e48953cfe0ea5e1db3f64c7de5334a4f87dff2 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 31 May 2023 16:08:22 +0530 Subject: Updated SRCREV of linux-xlnx for 2023.2_4659 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 9e715dfe..6a5c77e3 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.5" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "fab1cba41042423f48852bb244fa5a2c5774d293" +SRCREV = "cda08684a23737aa453f96a6542047c8ecdfa5d6" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 63a9db735a89f18baa79f1c571cdd094d252a771 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 31 May 2023 17:39:34 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_9623 Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index cc1e3160..cd338ab3 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "ca4d918ea4675d8eba73c37d4de4d598e3f87104" +ESW_REV[2023.2] = "b236be2782cc4141990094eed6df790beb0c0e2b" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From a16e3615d1e12b73cf84069cb153a5b9f60680b9 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 31 May 2023 21:37:27 +0530 Subject: Updated SRCREV of u-boot-xlnx for 2023.2_8447 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index d7102398..875ff171 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "e48889975305d7825357188407515b07f18195f4" +SRCREV = "559db18f363b5b960b988c94e265d677f61224be" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From 7a859ef4b4efcdebfba532d288994ef1f3964368 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 1 Jun 2023 00:16:20 +0530 Subject: Updated SRCREV of arm-trusted-firmware for 2023.2_8755 fix(zynqmp): make zynqmp_devices structure smaller feat(versal-net): add support for SMCC ARCH SOC ID feat(versal): add support for SMCC ARCH SOC ID refactor(versal-net): move macros to common header feat(xilinx): add support to get chipid fix(versal-net): fix BLXX memory limits for user defined values fix(versal): fix BLXX memory limits for user defined values fix(zynqmp): fix BLXX memory limits for user defined values feat(xilinx): fix IPI calculation for Versal/NET feat(xilinx): setup local/remote id in header feat(xilinx): clean macro names fix(zynqmp): do not export apu_ipi fix(zynqmp): remove unused headers feat(xilinx): move IPI related macros to plat_ipi.h feat(versal-net): add the IPI CRC checksum macro support Signed-off-by: Siva Addepalli --- .../recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb index d26213c5..3773b272 100644 --- a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb @@ -1,5 +1,5 @@ ATF_VERSION = "2.8" -SRCREV = "ac281821d7dc15ec3fa8ca5d73f9b0ac2284e8d7" +SRCREV = "e0ef499ce3466f9ddc616a03a76c523ddbdaec99" BRANCH = "xlnx_rebase_v2.8" LIC_FILES_CHKSUM = "file://license.rst;md5=1dd070c98a281d18d9eefd938729b031" -- cgit v1.2.3-54-g00ecf From 60430557ed2a9bfe4df648af245e8cf195cac2f3 Mon Sep 17 00:00:00 2001 From: John Toomey Date: Mon, 29 May 2023 13:50:15 +0100 Subject: xilinx-bootbin: Refactor create_bif functions Remove the biffunc dict which was used to map arch to a specific bif creation function and replace with simple if/elif/else logic. The default bif file functions are defined for zynq/zynqmp and versal as well as and empty default function which could be redefined in a bbappend with new logic or mapped back to one of the existing functions. Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- .../recipes-bsp/bootbin/xilinx-bootbin_1.0.bb | 21 +++++++++++++++++---- 1 file changed, 17 insertions(+), 4 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/bootbin/xilinx-bootbin_1.0.bb b/meta-xilinx-core/recipes-bsp/bootbin/xilinx-bootbin_1.0.bb index 5133fbd8..4c8bfa0e 100644 --- a/meta-xilinx-core/recipes-bsp/bootbin/xilinx-bootbin_1.0.bb +++ b/meta-xilinx-core/recipes-bsp/bootbin/xilinx-bootbin_1.0.bb @@ -44,6 +44,10 @@ BOOTGEN_EXTRA_ARGS ?= "" do_patch[noexec] = "1" def create_bif(config, attrflags, attrimage, ids, common_attr, biffd, d): + arch = d.getVar("SOC_FAMILY") + bb.error("create_bif function not defined for arch: %s" % (arch)) + +def create_zynq_bif(config, attrflags, attrimage, ids, common_attr, biffd, d): import re, os for cfg in config: if cfg not in attrflags and common_attr: @@ -117,23 +121,32 @@ def create_versal_bif(config, attrflags, attrimage, ids, common_attr, biffd, d): python do_configure() { fp = d.getVar("BIF_FILE_PATH") if fp == (d.getVar('B') + '/bootgen.bif'): - arch = d.getVar("SOC_FAMILY") - biffunc = {'versal':create_versal_bif, 'zynq':create_bif, 'zynqmp':create_bif} biffd = open(fp, 'w') biffd.write("the_ROM_image:\n") biffd.write("{\n") + arch = d.getVar("SOC_FAMILY") bifattr = (d.getVar("BIF_COMMON_ATTR") or "").split() if bifattr: attrflags = d.getVarFlags("BIF_COMMON_ATTR") or {} - biffunc[arch](bifattr, attrflags,'','', 1, biffd, d) + if arch in ['zynq', 'zynqmp']: + create_zynq_bif(bifattr, attrflags,'','', 1, biffd, d) + elif arch in ['versal']: + create_versal_bif(bifattr, attrflags,'','', 1, biffd, d) + else: + create_bif(bifattr, attrflags,'','', 1, biffd, d) bifpartition = (d.getVar("BIF_PARTITION_ATTR") or "").split() if bifpartition: attrflags = d.getVarFlags("BIF_PARTITION_ATTR") or {} attrimage = d.getVarFlags("BIF_PARTITION_IMAGE") or {} ids = d.getVarFlags("BIF_PARTITION_ID") or {} - biffunc[arch](bifpartition, attrflags, attrimage, ids, 0, biffd, d) + if arch in ['zynq', 'zynqmp']: + create_zynq_bif(bifpartition, attrflags, attrimage, ids, 0, biffd, d) + elif arch in ['versal']: + create_versal_bif(bifpartition, attrflags, attrimage, ids, 0, biffd, d) + else: + create_bif(bifpartition, attrflags, attrimage, ids, 0, biffd, d) biffd.write("}") biffd.close() -- cgit v1.2.3-54-g00ecf From 0e2bf7b22fefaf839257b3aec81649cb2f261d05 Mon Sep 17 00:00:00 2001 From: saumya garg Date: Tue, 30 May 2023 17:49:08 +0530 Subject: Update xrt, zocl commit id MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit changelog:    VITIS-8128 Update help Menu generator for SubCmds (#7568)    updating XRT as per changed metadata field (#7565)    Added check for cfg_cu payload_size to be within MAX_CQ_SLOT_SIZE in xocl_kds.c (#7552)    Fix out of order output for hardware contexts in memory report (#7559)    device process unable to connect to XRT (#7569)    CR-1162610 - Add V80's PCIe device ID to xocl driver (#7567)    Added changes to AIE Trace flushing for PCIe devices (#7554)    Vitis-7922 Synchronization of run objects between devices and processes (#7561)    Minor profiling refactoring, and fix issue with identifying AIE applications (#7553)    VITIS-8748 Improve format of configuration JSON (#7547)    Rename and expand AIE status (#7545) Signed-off-by: saumya garg Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 8be362e0..4ab9d0e1 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -3,6 +3,6 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG}" BRANCH= "master" -SRCREV= "762d126e3c15f9f1f6f3867940f01fb0c7abc451" +SRCREV= "1ac392d0f562613334906c347789173373c09418" PV = "202320.2.16.0" -- cgit v1.2.3-54-g00ecf From 1b1d8ace9cef0af0251554ca7cea1dbc032a09d9 Mon Sep 17 00:00:00 2001 From: John Toomey Date: Wed, 31 May 2023 15:55:36 +0100 Subject: u-boot-xlnx-blob: Error if CC_DTBS_DUP file missing When processing all of the entries in CC_DTBS_DUP an error should be thrown if the target dtb file is not found. Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc | 2 ++ 1 file changed, 2 insertions(+) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc index 3f32aa7e..baefe293 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc @@ -143,6 +143,8 @@ do_blob_generate () { bbnote "Node ${DT_BLOB_DIR}/${DTB} with ${DT_BLOB_DIR}/${DUP_DTB}" dtblob_emit_config "${its_filename}" "$DTB" "$DUP_DTB" "$dtbcount" dtbcount=`expr $dtbcount + 1` + else + bberror "CC_DTBS_DUP file not found ${DT_BLOB_DIR}/${DTB}.dtb" fi done -- cgit v1.2.3-54-g00ecf From 219d51b5d9db753513f5e3a47d3e56bb4919ef71 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 5 Jun 2023 18:19:55 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_2463 sw_services: xilpuf: Check if IRO frequency update is required sw_services:xilocp:Fix circular buffer issues during HWPCR logging xilplmi:Fixed doxygen warnings lib: bsp: standalone: Document the PM_IOCTL API version bumpup changes sw_services: xilpm: versal: Zeroization of AIE2 data memory separately sw_services: xilpm: versal: Zeroization of AIE1 data memory separately sw_services: xilpm: versal: Zeroization of AIE data memory separately. qspipsu: Fix code format issue qspipsu: Update sector calculation logic sw_services: xilnvm: Support to read HWTSTBITS_DIS and PMC_SC_EN bits sw_services: xilcert: Modified logic for storing user configuration ttcps: Update check for MatchValue and IntervalValue as per platform ttcps: Fix code formatting issues with checkpatch emacps: example: Add Clock settings to Versal Net Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index cd338ab3..3efd09cd 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "b236be2782cc4141990094eed6df790beb0c0e2b" +ESW_REV[2023.2] = "767bd3ffb64dff29e47f5b632be8a17f9552d4c7" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From d1da4b1b2312034282c96963c9d115a3ce485fb7 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 5 Jun 2023 18:20:19 +0530 Subject: Updated SRCREV of aie-rt for 2023.2_2495 driver: src: Disabled dump logs driver: src: global: Fix incorrect number of resources driver: src: stream_switch: Fix port verify for AIEML driver: src: fixed build error for decoupling flow driver: src: lite: Implemented lite version of _XAie_PmIsTileRequested() for AIE driver: src: Add support for system device-tree flow driver: src: Added Runtime clock gating Add runtime clock gating ioctl calls fal: src: rsc: Fix getEvent broadcast logic to support memtiles fal: data: Update version to 1.4 driver: src: Update minor version for 2023.1 driver: src: Compiler warning fix driver: src: io_backend: Work around to fix infinite loop driver: src: perfcnt: Add API to get counter offest driver: src: Cleaned up the driver of ToDo/FixMe comments. fal:src: Cleaned up the fal of ToDo/FixMe comments. driver: src: Add API to read DMA Bd metadata driver: src: io_backend: Add workaround to fix trace timeline Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 5eeb69d4..3a325e4c 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "xlnx_rel_v2023.1" -SRCREV ?= "1ad203de0b7f282b1c0659fd2ae3f218652c7274" +SRCREV ?= "c0f2192b995142c896d817f7e501e226c9b84ee0" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From a9d3d8c3a333e67c4a45bb070625924cede803c0 Mon Sep 17 00:00:00 2001 From: Manikanta Sreeram Date: Mon, 5 Jun 2023 09:54:55 -0600 Subject: Revert "Updated SRCREV of aie-rt for 2023.2_2495" This reverts commit d1da4b1b2312034282c96963c9d115a3ce485fb7. --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 3a325e4c..5eeb69d4 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "xlnx_rel_v2023.1" -SRCREV ?= "c0f2192b995142c896d817f7e501e226c9b84ee0" +SRCREV ?= "1ad203de0b7f282b1c0659fd2ae3f218652c7274" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From 2ba1a12e3ebed02cddda704fbc097b33a290d441 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Tue, 6 Jun 2023 12:45:12 +0530 Subject: Updated Commit ID Kconfig.part:gen_plnx_machine.py: Add menu config option in petalinux to enable only dtg generated aliases in dtb Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index deb7e943..79ed65ed 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit deb7e943229b26825d61664e19fdb40d5a098e32 +Subproject commit 79ed65ed03e1d1aefb74c189d53159caebe654e7 -- cgit v1.2.3-54-g00ecf From 378b72124901fc3dff735a3ae621f0f9008cc455 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 6 Jun 2023 18:29:01 +0530 Subject: Updated SRCREV of linux-xlnx for 2023.2_2035 arm64: zynqmp: Fix the memory node for k26/k24 kria som boards Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 6a5c77e3..13d75c9d 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.5" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "cda08684a23737aa453f96a6542047c8ecdfa5d6" +SRCREV = "da7276286c9458ed73202e3a0e8285844558cc9f" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 9d693c30ba23680acc16f99089ea90f5b56a1c8f Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 6 Jun 2023 18:23:14 +0530 Subject: Updated SRCREV of u-boot-xlnx for 2023.2_5115 test: py: tests: Add test case for loading RPU apps test: py: tests: Add test case for saveenv command arm64: zynqmp: Fix the memory node for k26/k24 kria som boards Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 875ff171..e0275dc3 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "559db18f363b5b960b988c94e265d677f61224be" +SRCREV = "7b2421d30691cae826245b4a3f35e997958f7c33" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From 4d96344180957c913456c25ab91c5cfc01114908 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 7 Jun 2023 12:50:34 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_7439 freertos10_xilinx: Fix tick interrupt clearing logic freertos10_xilinx: Update copyright freertos10_xilinx: Fix checkpatch issues freertos10_xilinx: Update the standalone BSP dependency version Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 3efd09cd..cab77dbd 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "767bd3ffb64dff29e47f5b632be8a17f9552d4c7" +ESW_REV[2023.2] = "23c29ad3f35d1654f65fbe1ba1ac6280debf03dc" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From f70bb261a187a30314eb973f3f0105f517951ef7 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 8 Jun 2023 12:47:03 +0530 Subject: Updated SRCREV of u-boot-xlnx for 2023.2_6275 arm64: zynqmp: Fix lockstep mode cpu release functionality arm64: zynqmp: Fix tcminit mode param arm64: versal-net: spi: Update boot sequence dynamically Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index e0275dc3..63778c9e 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "7b2421d30691cae826245b4a3f35e997958f7c33" +SRCREV = "870d031e3f56631086526002f5c56a1c57b664c3" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From 845935b361026ecfb784625f873db18a41cf4d52 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 5 Jun 2023 14:26:13 -0500 Subject: meta-xilinx-standalone-experimental: dt-processor.sh: move to min config Signed-off-by: Mark Hatle --- .../recipes-core/meta/files/dt-processor.sh | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh b/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh index 1da55b8e..6482a9bf 100755 --- a/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh +++ b/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh @@ -1126,9 +1126,9 @@ BASE_TMPDIR ?= "\${TOPDIR}" # The following is the full set of multiconfigs for this configuration # A large list can cause a slow parse. -BBMULTICONFIG ?= "${multiconf}" +#BBMULTICONFIG ?= "${multiconf}" # Alternatively trim the list to the minimum -#BBMULTICONFIG = "${multiconf_min}" +BBMULTICONFIG = "${multiconf_min}" EOF } -- cgit v1.2.3-54-g00ecf From f7a092f2657d783b61e9da2becb03c4a1ef7ef2d Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 9 Jun 2023 12:35:43 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_3371 xilloader: Fix for compilation error when PLM_SECURE_EXCLUDE enabled versal_common: xpm_common: Make XPm_Out32 honor WPROT bit xilloader: SWPCR support in xilloader xilocp: Add SWPCR support in OCP library xilplmi: Add SWPCR related error codes xilsecure: Add Sha2-384 start, update and finish support OCP: Key generation and attestation endianness fix sw_services: xilsecure: Add KAT in client examples sw_services: xilpuf: Add KAT in examples Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index cab77dbd..8259f0a7 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "23c29ad3f35d1654f65fbe1ba1ac6280debf03dc" +ESW_REV[2023.2] = "ce7bee8b867f4a7c381aaa5a784fabec3299a8f5" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 44bdae5e457e248cfce536893a150d5cb9a11f6b Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Thu, 8 Jun 2023 12:49:57 -0700 Subject: meta-dpdk: Add langdale meta-dpdk Add a copy of meta-dpdk, for the langdale branch from git://git.yoctoproject.org/meta-dpdk as of commit: bd1b4f10262f12dd66a91383757ccba4eeda0b08 Signed-off-by: Mark Hatle --- meta-dpdk/COPYING.MIT | 17 +++++ meta-dpdk/LICENSE | 5 ++ meta-dpdk/README | 72 ++++++++++++++++++++ meta-dpdk/conf/layer.conf | 22 +++++++ .../0001-configure-skip-toolchain-checks.patch | 46 +++++++++++++ ...-does-not-detect-libbpf-header-from-sysro.patch | 44 +++++++++++++ .../0003-Makefile-fix-KeyError-failure.patch | 31 +++++++++ .../0004-Makefile-fix-libxdp.pc-error.patch | 34 ++++++++++ .../recipes-support/xdp-tools/xdp-tools_1.2.8.bb | 37 +++++++++++ meta-dpdk/recipes-extended/dpdk/dpdk-kmods_git.bb | 29 ++++++++ .../recipes-extended/dpdk/dpdk-module_21.11.2.bb | 58 ++++++++++++++++ .../recipes-extended/dpdk/dpdk-module_22.07.0.bb | 58 ++++++++++++++++ meta-dpdk/recipes-extended/dpdk/dpdk.inc | 12 ++++ .../dpdk/dpdk/0001-Makefile-add-makefile.patch | 74 +++++++++++++++++++++ ...ch-and-mcpu-already-passed-by-Yocto-21.11.patch | 38 +++++++++++ .../dpdk/dpdk/0001-support-5.18-kernel-ABI.patch | 42 ++++++++++++ meta-dpdk/recipes-extended/dpdk/dpdk_21.11.2.bb | 77 ++++++++++++++++++++++ meta-dpdk/recipes-extended/dpdk/dpdk_22.07.0.bb | 76 +++++++++++++++++++++ 18 files changed, 772 insertions(+) create mode 100644 meta-dpdk/COPYING.MIT create mode 100644 meta-dpdk/LICENSE create mode 100644 meta-dpdk/README create mode 100644 meta-dpdk/conf/layer.conf create mode 100644 meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools/0001-configure-skip-toolchain-checks.patch create mode 100644 meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools/0002-Makefile-It-does-not-detect-libbpf-header-from-sysro.patch create mode 100644 meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools/0003-Makefile-fix-KeyError-failure.patch create mode 100644 meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools/0004-Makefile-fix-libxdp.pc-error.patch create mode 100644 meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools_1.2.8.bb create mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk-kmods_git.bb create mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk-module_21.11.2.bb create mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk-module_22.07.0.bb create mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk.inc create mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk/0001-Makefile-add-makefile.patch create mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk/0001-meson.build-march-and-mcpu-already-passed-by-Yocto-21.11.patch create mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk/0001-support-5.18-kernel-ABI.patch create mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk_21.11.2.bb create mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk_22.07.0.bb diff --git a/meta-dpdk/COPYING.MIT b/meta-dpdk/COPYING.MIT new file mode 100644 index 00000000..fb950dc6 --- /dev/null +++ b/meta-dpdk/COPYING.MIT @@ -0,0 +1,17 @@ +Permission is hereby granted, free of charge, to any person obtaining a copy +of this software and associated documentation files (the "Software"), to deal +in the Software without restriction, including without limitation the rights +to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +copies of the Software, and to permit persons to whom the Software is +furnished to do so, subject to the following conditions: + +The above copyright notice and this permission notice shall be included in +all copies or substantial portions of the Software. + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN +THE SOFTWARE. diff --git a/meta-dpdk/LICENSE b/meta-dpdk/LICENSE new file mode 100644 index 00000000..e57d3b90 --- /dev/null +++ b/meta-dpdk/LICENSE @@ -0,0 +1,5 @@ +All metadata files (including, but not limited to bb, bbappend, +bbclass, inc and conf files) are MIT licensed unless otherwise stated. +Source code included in tree for individual recipes is under the +LICENSE stated in the associated recipe (.bb file) unless otherwise +stated. diff --git a/meta-dpdk/README b/meta-dpdk/README new file mode 100644 index 00000000..81703cfb --- /dev/null +++ b/meta-dpdk/README @@ -0,0 +1,72 @@ +meta-dpdk +========= + +This README file contains information on building the DPDK package. + + +Dependencies +============ + +This layer depends on: + + URI: git://git.openembedded.org/bitbake + branch: master + + URI: git://git.openembedded.org/openembedded-core + layers: meta + branch: master + + +Guidelines for submitting patches +==================================== + +Please submit any patches against meta-dpdk to the meta-intel +mailing list (meta-intel@lists.yoctoproject.org). Also, if your patches are +available via a public git repository, please also include a URL to +the repo and branch containing your patches as that makes it easier +for maintainers to grab and test your patches. + +There are patch submission scripts available that will, among other +things, automatically include the repo URL and branch as mentioned. +Please see the Yocto Project Development Manual sections entitled +'Using Scripts to Push a Change Upstream and Request a Pull' and +'Using Email to Submit a Patch' for details. + +Regardless of how you submit a patch or patchset, the patches should +at minimum follow the suggestions outlined in the 'Submitting a Change +to the Yocto Project' section in the Yocto Project Development Manual. +Specifically, they should: + + - Include a 'Signed-off-by:' line. A commit can't legally be pulled + in without this. + + - Provide a single-line, short summary of the change. This short + description should be prefixed by the BSP or recipe name, as + appropriate, followed by a colon. Capitalize the first character + of the summary (following the colon). + + - For the body of the commit message, provide detailed information + that describes what you changed, why you made the change, and the + approach you used. + + - If the change addresses a specific bug or issue that is associated + with a bug-tracking ID, include a reference to that ID in your + detailed description in the following format: [YOCTO #]. + + - Pay attention to line length - please don't allow any particular + line in the commit message to stretch past 72 characters. + + - For any non-trivial patch, provide information about how you + tested the patch, and for any non-trivial or non-obvious testing + setup, provide details of that setup. + +Doing a quick 'git log' in meta-dpdk will provide you with many +examples of good example commits if you have questions about any +aspect of the preferred format. + +The meta-dpdk maintainers will do their best to review and/or pull in +a patch or patchset within 24 hours of the time it was posted. For +larger and/or more involved patches and patchsets, the review process +may take longer. + +Maintainer: Anuj Mittal diff --git a/meta-dpdk/conf/layer.conf b/meta-dpdk/conf/layer.conf new file mode 100644 index 00000000..45e5bd9d --- /dev/null +++ b/meta-dpdk/conf/layer.conf @@ -0,0 +1,22 @@ +# We have a conf and classes directory, add to BBPATH +BBPATH .= ":${LAYERDIR}" + +# We have recipes-* directories, add to BBFILES +BBFILES += "${LAYERDIR}/recipes-*/*/*.bb \ + ${LAYERDIR}/recipes-*/*/*.bbappend" + +BBFILE_COLLECTIONS += "dpdk" +BBFILE_PATTERN_dpdk := "^${LAYERDIR}/" +BBFILE_PRIORITY_dpdk = "5" + +LAYERDEPENDS_dpdk = "core" + +# This should only be incremented on significant changes that will +# cause compatibility issues with other layers +LAYERVERSION_dpdk = "1" +LAYERSERIES_COMPAT_dpdk = "kirkstone langdale" + +BBFILES_DYNAMIC += " \ + clang-layer:${LAYERDIR}/dynamic-layers/clang-layer/*/*/*.bb \ + clang-layer:${LAYERDIR}/dynamic-layers/clang-layer/*/*/*.bbappend \ +" diff --git a/meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools/0001-configure-skip-toolchain-checks.patch b/meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools/0001-configure-skip-toolchain-checks.patch new file mode 100644 index 00000000..32a88b20 --- /dev/null +++ b/meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools/0001-configure-skip-toolchain-checks.patch @@ -0,0 +1,46 @@ +From 53f8a8dffa571de99b50f1a7b757cfd7d8c24d21 Mon Sep 17 00:00:00 2001 +From: Naveen Saini +Date: Mon, 17 Oct 2022 15:44:16 +0800 +Subject: [PATCH 1/4] configure: skip toolchain checks + +Current logic fetch full command line along with the tool. i.e +gcc -m64 -march=skylake -mtune=generic ... + +Which throws ERROR: Cannot find tool -m64 + +So need to re-write for loop, so it can work in cross-compilation +environment too. + +Upstream-Status: Inappropriate + +Signed-off-by: Naveen Saini +--- + configure | 12 ++++++------ + 1 file changed, 6 insertions(+), 6 deletions(-) + +diff --git a/configure b/configure +index 70fdfdf..48d1ea5 100755 +--- a/configure ++++ b/configure +@@ -38,12 +38,12 @@ check_toolchain() + : ${EMACS=emacs} + : ${ARCH_INCLUDES=} + +- for TOOL in $PKG_CONFIG $CC $LD $OBJCOPY $CLANG $LLC $M4; do +- if [ ! $(command -v ${TOOL} 2>/dev/null) ]; then +- echo "*** ERROR: Cannot find tool ${TOOL}" ; +- exit 1; +- fi; +- done ++ #for TOOL in $PKG_CONFIG $CC $LD $OBJCOPY $CLANG $LLC $M4; do ++ # if [ ! $(command -v ${TOOL} 2>/dev/null) ]; then ++ # echo "*** ERROR: Cannot find tool ${TOOL}" ; ++ # exit 1; ++ # fi; ++ #done + + clang_version=$($CLANG --version | grep -Po '(?<=clang version )[[:digit:]]+') + echo "Found clang binary '$CLANG' with version $clang_version (from '$($CLANG --version | head -n 1)')" +-- +2.25.1 + diff --git a/meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools/0002-Makefile-It-does-not-detect-libbpf-header-from-sysro.patch b/meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools/0002-Makefile-It-does-not-detect-libbpf-header-from-sysro.patch new file mode 100644 index 00000000..2e667836 --- /dev/null +++ b/meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools/0002-Makefile-It-does-not-detect-libbpf-header-from-sysro.patch @@ -0,0 +1,44 @@ +From 2840cf0b89497f545fae2eed7ece3f3c5fc558e3 Mon Sep 17 00:00:00 2001 +From: Naveen Saini +Date: Mon, 17 Oct 2022 15:50:34 +0800 +Subject: [PATCH 2/4] Makefile: It does not detect libbpf header from sysroot + +So adding sysroot headers path. + +Upstream-Status: OE-Specific + +Signed-off-by: Naveen Saini +--- + lib/common.mk | 2 +- + lib/libxdp/Makefile | 2 +- + 2 files changed, 2 insertions(+), 2 deletions(-) + +diff --git a/lib/common.mk b/lib/common.mk +index 56c0406..ab0bad8 100644 +--- a/lib/common.mk ++++ b/lib/common.mk +@@ -55,7 +55,7 @@ LIBXDP_SOURCES := $(wildcard $(LIBXDP_DIR)/*.[ch] $(LIBXDP_DIR)/*.in) + KERN_USER_H ?= $(wildcard common_kern_user.h) + + CFLAGS += -I$(HEADER_DIR) -I$(LIB_DIR)/util $(ARCH_INCLUDES) +-BPF_CFLAGS += -I$(HEADER_DIR) $(ARCH_INCLUDES) ++BPF_CFLAGS += -I$(HEADER_DIR) $(ARCH_INCLUDES) -I${STAGING_INCDIR}/ + + BPF_HEADERS := $(wildcard $(HEADER_DIR)/bpf/*.h) $(wildcard $(HEADER_DIR)/xdp/*.h) + +diff --git a/lib/libxdp/Makefile b/lib/libxdp/Makefile +index 358b751..8f459d8 100644 +--- a/lib/libxdp/Makefile ++++ b/lib/libxdp/Makefile +@@ -30,7 +30,7 @@ PC_FILE := $(OBJDIR)/libxdp.pc + TEMPLATED_SOURCES := xdp-dispatcher.c + + CFLAGS += -I$(HEADER_DIR) +-BPF_CFLAGS += -I$(HEADER_DIR) ++BPF_CFLAGS += -I$(HEADER_DIR) -I${STAGING_INCDIR}/ + + + ifndef BUILD_STATIC_ONLY +-- +2.25.1 + diff --git a/meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools/0003-Makefile-fix-KeyError-failure.patch b/meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools/0003-Makefile-fix-KeyError-failure.patch new file mode 100644 index 00000000..41c57f6e --- /dev/null +++ b/meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools/0003-Makefile-fix-KeyError-failure.patch @@ -0,0 +1,31 @@ +From 157546fbc4f18751c52b3c8788879c05cf253331 Mon Sep 17 00:00:00 2001 +From: Naveen Saini +Date: Mon, 17 Oct 2022 16:02:46 +0800 +Subject: [PATCH 3/4] Makefile: fix KeyError failure + +Error: +Exception: KeyError: 'getpwuid(): uid not found: 11857215' + +Upstream-Status: Inappropriate + +Signed-off-by: Naveen Saini +--- + lib/libxdp/Makefile | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +diff --git a/lib/libxdp/Makefile b/lib/libxdp/Makefile +index 8f459d8..9a340a3 100644 +--- a/lib/libxdp/Makefile ++++ b/lib/libxdp/Makefile +@@ -55,7 +55,7 @@ install: all + $(Q)install -d -m 0755 $(DESTDIR)$(BPF_OBJECT_DIR) + $(Q)install -m 0644 $(LIB_HEADERS) $(DESTDIR)$(HDRDIR)/ + $(Q)install -m 0644 $(PC_FILE) $(DESTDIR)$(LIBDIR)/pkgconfig/ +- $(Q)cp -fpR $(SHARED_LIBS) $(STATIC_LIBS) $(DESTDIR)$(LIBDIR) ++ $(Q)cp -fpR --no-preserve=ownership $(SHARED_LIBS) $(STATIC_LIBS) $(DESTDIR)$(LIBDIR) + $(Q)install -m 0755 $(XDP_OBJS) $(DESTDIR)$(BPF_OBJECT_DIR) + $(if $(MAN_FILES),$(Q)install -m 0755 -d $(DESTDIR)$(MANDIR)/man3) + $(if $(MAN_FILES),$(Q)install -m 0644 $(MAN_FILES) $(DESTDIR)$(MANDIR)/man3) +-- +2.25.1 + diff --git a/meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools/0004-Makefile-fix-libxdp.pc-error.patch b/meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools/0004-Makefile-fix-libxdp.pc-error.patch new file mode 100644 index 00000000..b1e15e52 --- /dev/null +++ b/meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools/0004-Makefile-fix-libxdp.pc-error.patch @@ -0,0 +1,34 @@ +From 46b3ff797135574aa0ee42f633a281d44f48da95 Mon Sep 17 00:00:00 2001 +From: Naveen Saini +Date: Mon, 17 Oct 2022 16:05:15 +0800 +Subject: [PATCH 4/4] Makefile: fix libxdp.pc error + +Error: +do_populate_sysroot: QA Issue: libxdp.pc failed sanity test (tmpdir) in +path ... xdp-tools/1.2.8-r0/sysroot-destdir/usr/lib/pkgconfig [pkgconfig] + +Upstream-Status: Inappropriate + +Signed-off-by: Naveen Saini +--- + lib/libxdp/Makefile | 4 ++-- + 1 file changed, 2 insertions(+), 2 deletions(-) + +diff --git a/lib/libxdp/Makefile b/lib/libxdp/Makefile +index 9a340a3..bc39177 100644 +--- a/lib/libxdp/Makefile ++++ b/lib/libxdp/Makefile +@@ -76,8 +76,8 @@ $(OBJDIR)/libxdp.so.$(LIBXDP_VERSION): $(SHARED_OBJS) + $^ $(LDFLAGS) $(LDLIBS) -o $@ + + $(OBJDIR)/libxdp.pc: +- $(Q)sed -e "s|@PREFIX@|$(PREFIX)|" \ +- -e "s|@LIBDIR@|$(LIBDIR)|" \ ++ $(Q)sed -e "s|@PREFIX@|$(prefix)|" \ ++ -e "s|@LIBDIR@|$(libdir)|" \ + -e "s|@VERSION@|$(TOOLS_VERSION)|" \ + < libxdp.pc.template > $@ + +-- +2.25.1 + diff --git a/meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools_1.2.8.bb b/meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools_1.2.8.bb new file mode 100644 index 00000000..7cd49a0b --- /dev/null +++ b/meta-dpdk/dynamic-layers/clang-layer/recipes-support/xdp-tools/xdp-tools_1.2.8.bb @@ -0,0 +1,37 @@ +SUMMARY = "Utilities and example programs for use with XDP" +HOMEPAGE = "https://github.com/xdp-project/xdp-tools" +LICENSE = "GPL-2.0-or-later & LGPL-2.1-or-later & BSD-2-Clause" +LIC_FILES_CHKSUM = "file://LICENSE;md5=9ee53f8d06bbdb4c11b1557ecc4f8cd5 \ + file://LICENSES/GPL-2.0;md5=994331978b428511800bfbd17eea3001 \ + file://LICENSES/LGPL-2.1;md5=b370887980db5dd40659b50909238dbd \ + file://LICENSES/BSD-2-Clause;md5=5d6306d1b08f8df623178dfd81880927" + +DEPENDS += " libbpf clang-native zlib elfutils libpcap" + +SRC_URI = "git://github.com/xdp-project/xdp-tools.git;branch=v1.2;protocol=https \ + file://0001-configure-skip-toolchain-checks.patch \ + file://0002-Makefile-It-does-not-detect-libbpf-header-from-sysro.patch \ + file://0003-Makefile-fix-KeyError-failure.patch \ + file://0004-Makefile-fix-libxdp.pc-error.patch \ + " + +SRCREV = "d4ff1f9bcf8b03556b625ab6e16958598482e861" + +S = "${WORKDIR}/git" + +inherit pkgconfig + +EXTRA_OEMAKE += "PREFIX=${D}${prefix} LIBDIR=${D}${libdir} BUILD_STATIC_ONLY=1 PRODUCTION=1" + +CFLAGS += "-fPIC" + +export STAGING_INCDIR + +do_install () { + oe_runmake install + + # Remove object files *.o + rm -rf ${D}/${libdir}/bpf +} + +RDEPENDS:${PN} += "bash" diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk-kmods_git.bb b/meta-dpdk/recipes-extended/dpdk/dpdk-kmods_git.bb new file mode 100644 index 00000000..e929d5b7 --- /dev/null +++ b/meta-dpdk/recipes-extended/dpdk/dpdk-kmods_git.bb @@ -0,0 +1,29 @@ +SUMMARY = "DPDK Kernel Module igb_uio" +DESCRIPTION = "UIO driver for Intel IGB PCI cards" +HOMEPAGE = "http://git.dpdk.org/dpdk-kmods/" + +FILESEXTRAPATHS:prepend := "${THISDIR}/dpdk:" + +LICENSE = "GPL-2.0-only" +LIC_FILES_CHKSUM = "file://igb_uio.c;beginline=1;endline=4;md5=a05cd72f85021e22ff6b2632b437450b" + +SRC_URI = "git://dpdk.org/git/dpdk-kmods;protocol=https;branch=main \ + file://0001-support-5.18-kernel-ABI.patch;patchdir=../.. \ + " +SRCREV = "4a589f7bed00fc7009c93d430bd214ac7ad2bb6b" + +S = "${WORKDIR}/git/linux/igb_uio" + +PV = "1.0" + +inherit module + +EXTRA_OEMAKE += "KSRC='${STAGING_KERNEL_DIR}'" + +do_install() { + if [ -e "${S}/igb_uio.ko" ] + then + install -d ${D}${nonarch_base_libdir}/modules/${KERNEL_VERSION}/kernel/drivers/net/ + install -m 0644 ${S}/igb_uio.ko ${D}${nonarch_base_libdir}/modules/${KERNEL_VERSION}/kernel/drivers/net/ + fi +} diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk-module_21.11.2.bb b/meta-dpdk/recipes-extended/dpdk/dpdk-module_21.11.2.bb new file mode 100644 index 00000000..307ff407 --- /dev/null +++ b/meta-dpdk/recipes-extended/dpdk/dpdk-module_21.11.2.bb @@ -0,0 +1,58 @@ +include dpdk.inc + +FILESEXTRAPATHS:prepend := "${THISDIR}/dpdk:" + +SRC_URI += " \ + file://0001-Makefile-add-makefile.patch \ +" +STABLE = "-stable" +BRANCH = "21.11" +SRCREV = "7bcd45ce824d0ea2a9f30d16855613a93521851b" +S = "${WORKDIR}/git" + +inherit module + +#kernel module needs 'rte_build_config.h', which is generated at buid time +DEPENDS += "dpdk" + +COMPATIBLE_MACHINE = "null" +COMPATIBLE_HOST:libc-musl:class-target = "null" +COMPATIBLE_HOST:linux-gnux32 = "null" + +export S +export STAGING_KERNEL_DIR +export STAGING_INCDIR +export INSTALL_MOD_DIR="dpdk" + +do_configure[noexec] = "1" + +do_compile() { + cd ${S}/kernel/linux/kni + unset CFLAGS CPPFLAGS CXXFLAGS LDFLAGS + oe_runmake KERNEL_PATH=${STAGING_KERNEL_DIR} \ + KERNEL_VERSION=${KERNEL_VERSION} \ + CC="${KERNEL_CC}" LD="${KERNEL_LD}" \ + AR="${KERNEL_AR}" \ + O=${STAGING_KERNEL_BUILDDIR} \ + KBUILD_EXTRA_SYMBOLS="${KBUILD_EXTRA_SYMBOLS}" \ + ${MAKE_TARGETS} +} + +do_install() { + cd ${S}/kernel/linux/kni + unset CFLAGS CPPFLAGS CXXFLAGS LDFLAGS + oe_runmake DEPMOD=echo MODLIB="${D}${nonarch_base_libdir}/modules/${KERNEL_VERSION}" \ + INSTALL_FW_PATH="${D}${nonarch_base_libdir}/firmware" \ + CC="${KERNEL_CC}" LD="${KERNEL_LD}" \ + O=${STAGING_KERNEL_BUILDDIR} \ + ${MODULES_INSTALL_TARGET} +} + +# CVE-2021-3839 has been fixed by commit 4c40d30d2b in 21.11.1 +# NVD database is incomplete +# CVE-2022-0669 has been fixed by commit 6cb68162e4 in 21.11.1 +# NVD database is incomplete +CVE_CHECK_IGNORE += "\ + CVE-2021-3839 \ + CVE-2022-0669 \ +" diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk-module_22.07.0.bb b/meta-dpdk/recipes-extended/dpdk/dpdk-module_22.07.0.bb new file mode 100644 index 00000000..3fcbf1ec --- /dev/null +++ b/meta-dpdk/recipes-extended/dpdk/dpdk-module_22.07.0.bb @@ -0,0 +1,58 @@ +include dpdk.inc + +FILESEXTRAPATHS:prepend := "${THISDIR}/dpdk:" + +SRC_URI = "git://dpdk.org/git/dpdk;branch=${BRANCH};protocol=https \ + file://0001-Makefile-add-makefile.patch \ +" + +BRANCH = "releases" +SRCREV = "4fceceed5b5e9fbf04acffd66239c79d81e79260" +S = "${WORKDIR}/git" + +inherit module + +#kernel module needs 'rte_build_config.h', which is generated at buid time +DEPENDS += "dpdk" + +COMPATIBLE_MACHINE = "null" +COMPATIBLE_HOST:libc-musl:class-target = "null" +COMPATIBLE_HOST:linux-gnux32 = "null" + +export S +export STAGING_KERNEL_DIR +export STAGING_INCDIR +export INSTALL_MOD_DIR="dpdk" + +do_configure[noexec] = "1" + +do_compile() { + cd ${S}/kernel/linux/kni + unset CFLAGS CPPFLAGS CXXFLAGS LDFLAGS + oe_runmake KERNEL_PATH=${STAGING_KERNEL_DIR} \ + KERNEL_VERSION=${KERNEL_VERSION} \ + CC="${KERNEL_CC}" LD="${KERNEL_LD}" \ + AR="${KERNEL_AR}" \ + O=${STAGING_KERNEL_BUILDDIR} \ + KBUILD_EXTRA_SYMBOLS="${KBUILD_EXTRA_SYMBOLS}" \ + ${MAKE_TARGETS} +} + +do_install() { + cd ${S}/kernel/linux/kni + unset CFLAGS CPPFLAGS CXXFLAGS LDFLAGS + oe_runmake DEPMOD=echo MODLIB="${D}${nonarch_base_libdir}/modules/${KERNEL_VERSION}" \ + INSTALL_FW_PATH="${D}${nonarch_base_libdir}/firmware" \ + CC="${KERNEL_CC}" LD="${KERNEL_LD}" \ + O=${STAGING_KERNEL_BUILDDIR} \ + ${MODULES_INSTALL_TARGET} +} + +# CVE-2021-3839 has been fixed by commit 4c40d30d2b in 21.11.1 +# NVD database is incomplete +# CVE-2022-0669 has been fixed by commit 6cb68162e4 in 21.11.1 +# NVD database is incomplete +CVE_CHECK_IGNORE += "\ + CVE-2021-3839 \ + CVE-2022-0669 \ +" diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk.inc b/meta-dpdk/recipes-extended/dpdk/dpdk.inc new file mode 100644 index 00000000..b5c14984 --- /dev/null +++ b/meta-dpdk/recipes-extended/dpdk/dpdk.inc @@ -0,0 +1,12 @@ +DESCRIPTION = "Intel(r) Data Plane Development Kit" +HOMEPAGE = "http://dpdk.org" + +LICENSE = "BSD-3-Clause & LGPL-2.1-only & GPL-2.0-only" +LIC_FILES_CHKSUM = "file://license/gpl-2.0.txt;md5=b234ee4d69f5fce4486a80fdaf4a4263 \ + file://license/lgpl-2.1.txt;md5=4b54a1fd55a448865a0b32d41598759d \ + file://license/bsd-3-clause.txt;md5=0f00d99239d922ffd13cabef83b33444" + +SRC_URI = "git://dpdk.org/dpdk${STABLE};branch=${BRANCH} \ +" + +CVE_PRODUCT = "data_plane_development_kit" diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk/0001-Makefile-add-makefile.patch b/meta-dpdk/recipes-extended/dpdk/dpdk/0001-Makefile-add-makefile.patch new file mode 100644 index 00000000..18b75fb6 --- /dev/null +++ b/meta-dpdk/recipes-extended/dpdk/dpdk/0001-Makefile-add-makefile.patch @@ -0,0 +1,74 @@ +From 768cef60957d5e840071d1d6514d4add3f3c2904 Mon Sep 17 00:00:00 2001 +From: Naveen Saini +Date: Wed, 14 Apr 2021 17:25:53 +0800 +Subject: [PATCH] Makefile: add makefile + +Current meson build for kernel module build does not fit in Yocto. +So added Makefile to build rte_kni.ko + +Upstream-Status: Inappropriate + +Signed-off-by: Naveen Saini +--- + kernel/linux/kni/Kbuild | 6 ------ + kernel/linux/kni/Makefile | 35 +++++++++++++++++++++++++++++++++++ + 2 files changed, 35 insertions(+), 6 deletions(-) + delete mode 100644 kernel/linux/kni/Kbuild + create mode 100644 kernel/linux/kni/Makefile + +diff --git a/kernel/linux/kni/Kbuild b/kernel/linux/kni/Kbuild +deleted file mode 100644 +index e5452d6c00..0000000000 +--- a/kernel/linux/kni/Kbuild ++++ /dev/null +@@ -1,6 +0,0 @@ +-# SPDX-License-Identifier: BSD-3-Clause +-# Copyright(c) 2018 Luca Boccassi +- +-ccflags-y := $(MODULE_CFLAGS) +-obj-m := rte_kni.o +-rte_kni-y := $(patsubst $(src)/%.c,%.o,$(wildcard $(src)/*.c)) +diff --git a/kernel/linux/kni/Makefile b/kernel/linux/kni/Makefile +new file mode 100644 +index 0000000000..19897ee06e +--- /dev/null ++++ b/kernel/linux/kni/Makefile +@@ -0,0 +1,35 @@ ++# ++# Makefile for building rte_kni.ko ++# ++MODULE_CFLAGS += -include $(S)/config/rte_config.h ++MODULE_CFLAGS += -I$(S)/lib/librte_eal/include ++MODULE_CFLAGS += -I$(S)/lib/librte_kni ++MODULE_CFLAGS += -I$(S)/kernel/linux/kni ++MODULE_CFLAGS += -I$(S) ++MODULE_CFLAGS += -I$(STAGING_INCDIR) ++ ++rte_kni-objs = kni_misc.o kni_net.o ++ ++ccflags-y := $(MODULE_CFLAGS) ++cflags-y := $(MODULE_CFLAGS) ++obj-m := rte_kni.o ++ ++ ++KERNEL_MAKE_OPTS := -C $(STAGING_KERNEL_DIR) M=$(CURDIR) ++ifneq ($(ARCH),) ++KERNEL_MAKE_OPTS += ARCH=$(ARCH) ++endif ++ifneq ($(CROSS_COMPILE),) ++KERNEL_MAKE_OPTS += CROSS_COMPILE=$(CROSS_COMPILE) ++endif ++ ++build: ++ $(MAKE) $(KERNEL_MAKE_OPTS) modules ++ ++install: modules_install ++ ++modules_install: ++ $(MAKE) $(KERNEL_MAKE_OPTS) modules_install ++ ++clean: ++ $(MAKE) $(KERNEL_MAKE_OPTS) clean +-- +2.17.1 + diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk/0001-meson.build-march-and-mcpu-already-passed-by-Yocto-21.11.patch b/meta-dpdk/recipes-extended/dpdk/dpdk/0001-meson.build-march-and-mcpu-already-passed-by-Yocto-21.11.patch new file mode 100644 index 00000000..a74869de --- /dev/null +++ b/meta-dpdk/recipes-extended/dpdk/dpdk/0001-meson.build-march-and-mcpu-already-passed-by-Yocto-21.11.patch @@ -0,0 +1,38 @@ +From 9aeb0bedf63f0f8f132194705b63ed0045452354 Mon Sep 17 00:00:00 2001 +From: Naveen Saini +Date: Wed, 8 Dec 2021 11:48:27 +0800 +Subject: [PATCH] meson.build:-march and -mcpu already passed by Yocto + +Upstream-Status: Inappropriate + +Signed-off-by: Naveen Saini +--- + config/meson.build | 12 ++++++------ + 1 file changed, 6 insertions(+), 6 deletions(-) + +diff --git a/config/meson.build b/config/meson.build +index 805d5d51d0..620331a736 100644 +--- a/config/meson.build ++++ b/config/meson.build +@@ -127,12 +127,12 @@ dpdk_conf.set('RTE_MACHINE', cpu_instruction_set) + machine_args = [] + + # ppc64 does not support -march= at all, use -mcpu and -mtune for that +-if host_machine.cpu_family().startswith('ppc') +- machine_args += '-mcpu=' + cpu_instruction_set +- machine_args += '-mtune=' + cpu_instruction_set +-else +- machine_args += '-march=' + cpu_instruction_set +-endif ++#if host_machine.cpu_family().startswith('ppc') ++# machine_args += '-mcpu=' + cpu_instruction_set ++# machine_args += '-mtune=' + cpu_instruction_set ++#else ++# machine_args += '-march=' + cpu_instruction_set ++#endif + + toolchain = cc.get_id() + dpdk_conf.set_quoted('RTE_TOOLCHAIN', toolchain) +-- +2.17.1 + diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk/0001-support-5.18-kernel-ABI.patch b/meta-dpdk/recipes-extended/dpdk/dpdk/0001-support-5.18-kernel-ABI.patch new file mode 100644 index 00000000..bada7bdd --- /dev/null +++ b/meta-dpdk/recipes-extended/dpdk/dpdk/0001-support-5.18-kernel-ABI.patch @@ -0,0 +1,42 @@ +From 5f2d8db6692e257b16f1c5204efaaac2362f34ab Mon Sep 17 00:00:00 2001 +From: Andrea Righi +Date: Tue, 15 Nov 2022 13:56:45 +0800 +Subject: [PATCH] support 5.18+ kernel ABI + +Upstream-Status: Pending [Taken from Ubuntu Source, https://git.launchpad.net/ubuntu/+source/dpdk-kmods/commit/debian/patches?id=9d628c02c169d8190bc2cb6afd81e4d364c382cd] + +Signed-off-by: Andrea Righi +Signed-off-by: Naveen Saini +--- + linux/igb_uio/igb_uio.c | 13 ++++++++++++- + 1 file changed, 12 insertions(+), 1 deletion(-) + +diff --git a/linux/igb_uio/igb_uio.c b/linux/igb_uio/igb_uio.c +index 33e0e02..89b5262 100644 +--- a/linux/igb_uio/igb_uio.c ++++ b/linux/igb_uio/igb_uio.c +@@ -30,9 +30,20 @@ enum rte_intr_mode { + #define RTE_INTR_MODE_MSI_NAME "msi" + #define RTE_INTR_MODE_MSIX_NAME "msix" + +- + #include "compat.h" + ++#if LINUX_VERSION_CODE >= KERNEL_VERSION(5, 18, 0) ++static inline int pci_set_dma_mask(struct pci_dev *dev, u64 mask) ++{ ++ return dma_set_mask(&dev->dev, mask); ++} ++ ++static inline int pci_set_consistent_dma_mask(struct pci_dev *dev, u64 mask) ++{ ++ return dma_set_coherent_mask(&dev->dev, mask); ++} ++#endif ++ + /** + * A structure describing the private information for a uio device. + */ +-- +2.25.1 + diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk_21.11.2.bb b/meta-dpdk/recipes-extended/dpdk/dpdk_21.11.2.bb new file mode 100644 index 00000000..bb812e12 --- /dev/null +++ b/meta-dpdk/recipes-extended/dpdk/dpdk_21.11.2.bb @@ -0,0 +1,77 @@ +include dpdk.inc + +SRC_URI += " \ + file://0001-meson.build-march-and-mcpu-already-passed-by-Yocto-21.11.patch \ +" + +STABLE = "-stable" +BRANCH = "21.11" +SRCREV = "7bcd45ce824d0ea2a9f30d16855613a93521851b" +S = "${WORKDIR}/git" + +# CVE-2021-3839 has been fixed by commit 4c40d30d2b in 21.11.1 +# NVD database is incomplete +# CVE-2022-0669 has been fixed by commit 6cb68162e4 in 21.11.1 +# NVD database is incomplete +CVE_CHECK_IGNORE += "\ + CVE-2021-3839 \ + CVE-2022-0669 \ +" + +# kernel module is provide by dpdk-module recipe, so disable here +EXTRA_OEMESON = " -Denable_kmods=false \ + -Dexamples=all \ +" + +COMPATIBLE_MACHINE = "null" +COMPATIBLE_HOST:libc-musl:class-target = "null" +COMPATIBLE_HOST:linux-gnux32 = "null" + +PACKAGECONFIG ??= " " +PACKAGECONFIG[afxdp] = ",,libbpf xdp-tools" +PACKAGECONFIG[libvirt] = ",,libvirt" + +RDEPENDS:${PN} += "pciutils python3-core" +RDEPENDS:${PN}-examples += "bash" +DEPENDS = "numactl python3-pyelftools-native" + +inherit meson pkgconfig + +INSTALL_PATH = "${prefix}/share/dpdk" + +do_install:append(){ + # remove source files + rm -rf ${D}/${INSTALL_PATH}/examples/* + + # Install examples + install -m 0755 -d ${D}/${INSTALL_PATH}/examples/ + for dirname in ${B}/examples/dpdk-* + do + if [ ! -d ${dirname} ] && [ -x ${dirname} ]; then + install -m 0755 ${dirname} ${D}/${INSTALL_PATH}/examples/ + fi + done + +} + +PACKAGES =+ "${PN}-examples ${PN}-tools" + +FILES:${PN} += " ${bindir}/dpdk-testpmd \ + ${bindir}/dpdk-proc-info \ + ${libdir}/*.so* \ + ${libdir}/dpdk/pmds-22.0/*.so* \ + " +FILES:${PN}-examples = " \ + ${prefix}/share/dpdk/examples/* \ + " + +FILES:${PN}-tools = " \ + ${bindir}/dpdk-pdump \ + ${bindir}/dpdk-test \ + ${bindir}/dpdk-test-* \ + ${bindir}/dpdk-*.py \ + " + +CVE_PRODUCT = "data_plane_development_kit" + +INSANE_SKIP:${PN} = "dev-so" diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk_22.07.0.bb b/meta-dpdk/recipes-extended/dpdk/dpdk_22.07.0.bb new file mode 100644 index 00000000..9f1843bb --- /dev/null +++ b/meta-dpdk/recipes-extended/dpdk/dpdk_22.07.0.bb @@ -0,0 +1,76 @@ +include dpdk.inc + +SRC_URI = "git://dpdk.org/git/dpdk;branch=${BRANCH};protocol=https \ + file://0001-meson.build-march-and-mcpu-already-passed-by-Yocto-21.11.patch \ +" + +BRANCH = "releases" +SRCREV = "4fceceed5b5e9fbf04acffd66239c79d81e79260" +S = "${WORKDIR}/git" + +# CVE-2021-3839 has been fixed by commit 4c40d30d2b in 21.11.1 +# NVD database is incomplete +# CVE-2022-0669 has been fixed by commit 6cb68162e4 in 21.11.1 +# NVD database is incomplete +CVE_CHECK_IGNORE += "\ + CVE-2021-3839 \ + CVE-2022-0669 \ +" + +# kernel module is provide by dpdk-module recipe, so disable here +EXTRA_OEMESON = " -Denable_kmods=false \ + -Dexamples=all \ +" + +COMPATIBLE_MACHINE = "null" +COMPATIBLE_HOST:libc-musl:class-target = "null" +COMPATIBLE_HOST:linux-gnux32 = "null" + +PACKAGECONFIG ??= " " +PACKAGECONFIG[afxdp] = ",,libbpf xdp-tools" +PACKAGECONFIG[libvirt] = ",,libvirt" + +RDEPENDS:${PN} += "pciutils python3-core" +RDEPENDS:${PN}-examples += "bash" +DEPENDS = "numactl python3-pyelftools-native" + +inherit meson pkgconfig + +INSTALL_PATH = "${prefix}/share/dpdk" + +do_install:append(){ + # remove source files + rm -rf ${D}/${INSTALL_PATH}/examples/* + + # Install examples + install -m 0755 -d ${D}/${INSTALL_PATH}/examples/ + for dirname in ${B}/examples/dpdk-* + do + if [ ! -d ${dirname} ] && [ -x ${dirname} ]; then + install -m 0755 ${dirname} ${D}/${INSTALL_PATH}/examples/ + fi + done + +} + +PACKAGES =+ "${PN}-examples ${PN}-tools" + +FILES:${PN} += " ${bindir}/dpdk-testpmd \ + ${bindir}/dpdk-proc-info \ + ${libdir}/*.so* \ + ${libdir}/dpdk/pmds-22.0/*.so* \ + " +FILES:${PN}-examples = " \ + ${prefix}/share/dpdk/examples/* \ + " + +FILES:${PN}-tools = " \ + ${bindir}/dpdk-pdump \ + ${bindir}/dpdk-test \ + ${bindir}/dpdk-test-* \ + ${bindir}/dpdk-*.py \ + " + +CVE_PRODUCT = "data_plane_development_kit" + +INSANE_SKIP:${PN} = "dev-so" -- cgit v1.2.3-54-g00ecf From cbf58868ccc74f8380b297f96d76f1dfd6c71c7f Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Thu, 8 Jun 2023 12:57:56 -0700 Subject: meta-dpdk: Document this is a fork Signed-off-by: Mark Hatle --- meta-dpdk/README | 28 ++++++---------------------- 1 file changed, 6 insertions(+), 22 deletions(-) diff --git a/meta-dpdk/README b/meta-dpdk/README index 81703cfb..1b256d02 100644 --- a/meta-dpdk/README +++ b/meta-dpdk/README @@ -3,6 +3,10 @@ meta-dpdk This README file contains information on building the DPDK package. +This is a fork of meta-dpdk, git://git.yoctoproject.org/meta-dpdk +langdale branch as of commit bd1b4f10262f12dd66a91383757ccba4eeda0b08. + +The software in this fork is specific to the AMD Embedded versal-net. Dependencies ============ @@ -20,17 +24,8 @@ This layer depends on: Guidelines for submitting patches ==================================== -Please submit any patches against meta-dpdk to the meta-intel -mailing list (meta-intel@lists.yoctoproject.org). Also, if your patches are -available via a public git repository, please also include a URL to -the repo and branch containing your patches as that makes it easier -for maintainers to grab and test your patches. - -There are patch submission scripts available that will, among other -things, automatically include the repo URL and branch as mentioned. -Please see the Yocto Project Development Manual sections entitled -'Using Scripts to Push a Change Upstream and Request a Pull' and -'Using Email to Submit a Patch' for details. +Please submit any patches against this fork of meta-dpdk to the meta-xilinx +mailing list (meta-xilinx@lists.yoctoproject.org). Regardless of how you submit a patch or patchset, the patches should at minimum follow the suggestions outlined in the 'Submitting a Change @@ -59,14 +54,3 @@ Specifically, they should: - For any non-trivial patch, provide information about how you tested the patch, and for any non-trivial or non-obvious testing setup, provide details of that setup. - -Doing a quick 'git log' in meta-dpdk will provide you with many -examples of good example commits if you have questions about any -aspect of the preferred format. - -The meta-dpdk maintainers will do their best to review and/or pull in -a patch or patchset within 24 hours of the time it was posted. For -larger and/or more involved patches and patchsets, the review process -may take longer. - -Maintainer: Anuj Mittal -- cgit v1.2.3-54-g00ecf From 8959c9923919c577445a7b3924ccdb928def4291 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Thu, 8 Jun 2023 12:59:19 -0700 Subject: dpdk-kmods_git.bb: Remove, not relevant for versal-net Signed-off-by: Mark Hatle --- meta-dpdk/recipes-extended/dpdk/dpdk-kmods_git.bb | 29 ----------------------- 1 file changed, 29 deletions(-) delete mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk-kmods_git.bb diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk-kmods_git.bb b/meta-dpdk/recipes-extended/dpdk/dpdk-kmods_git.bb deleted file mode 100644 index e929d5b7..00000000 --- a/meta-dpdk/recipes-extended/dpdk/dpdk-kmods_git.bb +++ /dev/null @@ -1,29 +0,0 @@ -SUMMARY = "DPDK Kernel Module igb_uio" -DESCRIPTION = "UIO driver for Intel IGB PCI cards" -HOMEPAGE = "http://git.dpdk.org/dpdk-kmods/" - -FILESEXTRAPATHS:prepend := "${THISDIR}/dpdk:" - -LICENSE = "GPL-2.0-only" -LIC_FILES_CHKSUM = "file://igb_uio.c;beginline=1;endline=4;md5=a05cd72f85021e22ff6b2632b437450b" - -SRC_URI = "git://dpdk.org/git/dpdk-kmods;protocol=https;branch=main \ - file://0001-support-5.18-kernel-ABI.patch;patchdir=../.. \ - " -SRCREV = "4a589f7bed00fc7009c93d430bd214ac7ad2bb6b" - -S = "${WORKDIR}/git/linux/igb_uio" - -PV = "1.0" - -inherit module - -EXTRA_OEMAKE += "KSRC='${STAGING_KERNEL_DIR}'" - -do_install() { - if [ -e "${S}/igb_uio.ko" ] - then - install -d ${D}${nonarch_base_libdir}/modules/${KERNEL_VERSION}/kernel/drivers/net/ - install -m 0644 ${S}/igb_uio.ko ${D}${nonarch_base_libdir}/modules/${KERNEL_VERSION}/kernel/drivers/net/ - fi -} -- cgit v1.2.3-54-g00ecf From 68ce837a4c500f0e9031c6a5e28c120f69822eba Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Thu, 8 Jun 2023 13:03:04 -0700 Subject: meta-dpdk: Remove 21.11.2 version Signed-off-by: Mark Hatle --- .../recipes-extended/dpdk/dpdk-module_21.11.2.bb | 58 ---------------- .../dpdk/dpdk/0001-support-5.18-kernel-ABI.patch | 42 ------------ meta-dpdk/recipes-extended/dpdk/dpdk_21.11.2.bb | 77 ---------------------- 3 files changed, 177 deletions(-) delete mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk-module_21.11.2.bb delete mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk/0001-support-5.18-kernel-ABI.patch delete mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk_21.11.2.bb diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk-module_21.11.2.bb b/meta-dpdk/recipes-extended/dpdk/dpdk-module_21.11.2.bb deleted file mode 100644 index 307ff407..00000000 --- a/meta-dpdk/recipes-extended/dpdk/dpdk-module_21.11.2.bb +++ /dev/null @@ -1,58 +0,0 @@ -include dpdk.inc - -FILESEXTRAPATHS:prepend := "${THISDIR}/dpdk:" - -SRC_URI += " \ - file://0001-Makefile-add-makefile.patch \ -" -STABLE = "-stable" -BRANCH = "21.11" -SRCREV = "7bcd45ce824d0ea2a9f30d16855613a93521851b" -S = "${WORKDIR}/git" - -inherit module - -#kernel module needs 'rte_build_config.h', which is generated at buid time -DEPENDS += "dpdk" - -COMPATIBLE_MACHINE = "null" -COMPATIBLE_HOST:libc-musl:class-target = "null" -COMPATIBLE_HOST:linux-gnux32 = "null" - -export S -export STAGING_KERNEL_DIR -export STAGING_INCDIR -export INSTALL_MOD_DIR="dpdk" - -do_configure[noexec] = "1" - -do_compile() { - cd ${S}/kernel/linux/kni - unset CFLAGS CPPFLAGS CXXFLAGS LDFLAGS - oe_runmake KERNEL_PATH=${STAGING_KERNEL_DIR} \ - KERNEL_VERSION=${KERNEL_VERSION} \ - CC="${KERNEL_CC}" LD="${KERNEL_LD}" \ - AR="${KERNEL_AR}" \ - O=${STAGING_KERNEL_BUILDDIR} \ - KBUILD_EXTRA_SYMBOLS="${KBUILD_EXTRA_SYMBOLS}" \ - ${MAKE_TARGETS} -} - -do_install() { - cd ${S}/kernel/linux/kni - unset CFLAGS CPPFLAGS CXXFLAGS LDFLAGS - oe_runmake DEPMOD=echo MODLIB="${D}${nonarch_base_libdir}/modules/${KERNEL_VERSION}" \ - INSTALL_FW_PATH="${D}${nonarch_base_libdir}/firmware" \ - CC="${KERNEL_CC}" LD="${KERNEL_LD}" \ - O=${STAGING_KERNEL_BUILDDIR} \ - ${MODULES_INSTALL_TARGET} -} - -# CVE-2021-3839 has been fixed by commit 4c40d30d2b in 21.11.1 -# NVD database is incomplete -# CVE-2022-0669 has been fixed by commit 6cb68162e4 in 21.11.1 -# NVD database is incomplete -CVE_CHECK_IGNORE += "\ - CVE-2021-3839 \ - CVE-2022-0669 \ -" diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk/0001-support-5.18-kernel-ABI.patch b/meta-dpdk/recipes-extended/dpdk/dpdk/0001-support-5.18-kernel-ABI.patch deleted file mode 100644 index bada7bdd..00000000 --- a/meta-dpdk/recipes-extended/dpdk/dpdk/0001-support-5.18-kernel-ABI.patch +++ /dev/null @@ -1,42 +0,0 @@ -From 5f2d8db6692e257b16f1c5204efaaac2362f34ab Mon Sep 17 00:00:00 2001 -From: Andrea Righi -Date: Tue, 15 Nov 2022 13:56:45 +0800 -Subject: [PATCH] support 5.18+ kernel ABI - -Upstream-Status: Pending [Taken from Ubuntu Source, https://git.launchpad.net/ubuntu/+source/dpdk-kmods/commit/debian/patches?id=9d628c02c169d8190bc2cb6afd81e4d364c382cd] - -Signed-off-by: Andrea Righi -Signed-off-by: Naveen Saini ---- - linux/igb_uio/igb_uio.c | 13 ++++++++++++- - 1 file changed, 12 insertions(+), 1 deletion(-) - -diff --git a/linux/igb_uio/igb_uio.c b/linux/igb_uio/igb_uio.c -index 33e0e02..89b5262 100644 ---- a/linux/igb_uio/igb_uio.c -+++ b/linux/igb_uio/igb_uio.c -@@ -30,9 +30,20 @@ enum rte_intr_mode { - #define RTE_INTR_MODE_MSI_NAME "msi" - #define RTE_INTR_MODE_MSIX_NAME "msix" - -- - #include "compat.h" - -+#if LINUX_VERSION_CODE >= KERNEL_VERSION(5, 18, 0) -+static inline int pci_set_dma_mask(struct pci_dev *dev, u64 mask) -+{ -+ return dma_set_mask(&dev->dev, mask); -+} -+ -+static inline int pci_set_consistent_dma_mask(struct pci_dev *dev, u64 mask) -+{ -+ return dma_set_coherent_mask(&dev->dev, mask); -+} -+#endif -+ - /** - * A structure describing the private information for a uio device. - */ --- -2.25.1 - diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk_21.11.2.bb b/meta-dpdk/recipes-extended/dpdk/dpdk_21.11.2.bb deleted file mode 100644 index bb812e12..00000000 --- a/meta-dpdk/recipes-extended/dpdk/dpdk_21.11.2.bb +++ /dev/null @@ -1,77 +0,0 @@ -include dpdk.inc - -SRC_URI += " \ - file://0001-meson.build-march-and-mcpu-already-passed-by-Yocto-21.11.patch \ -" - -STABLE = "-stable" -BRANCH = "21.11" -SRCREV = "7bcd45ce824d0ea2a9f30d16855613a93521851b" -S = "${WORKDIR}/git" - -# CVE-2021-3839 has been fixed by commit 4c40d30d2b in 21.11.1 -# NVD database is incomplete -# CVE-2022-0669 has been fixed by commit 6cb68162e4 in 21.11.1 -# NVD database is incomplete -CVE_CHECK_IGNORE += "\ - CVE-2021-3839 \ - CVE-2022-0669 \ -" - -# kernel module is provide by dpdk-module recipe, so disable here -EXTRA_OEMESON = " -Denable_kmods=false \ - -Dexamples=all \ -" - -COMPATIBLE_MACHINE = "null" -COMPATIBLE_HOST:libc-musl:class-target = "null" -COMPATIBLE_HOST:linux-gnux32 = "null" - -PACKAGECONFIG ??= " " -PACKAGECONFIG[afxdp] = ",,libbpf xdp-tools" -PACKAGECONFIG[libvirt] = ",,libvirt" - -RDEPENDS:${PN} += "pciutils python3-core" -RDEPENDS:${PN}-examples += "bash" -DEPENDS = "numactl python3-pyelftools-native" - -inherit meson pkgconfig - -INSTALL_PATH = "${prefix}/share/dpdk" - -do_install:append(){ - # remove source files - rm -rf ${D}/${INSTALL_PATH}/examples/* - - # Install examples - install -m 0755 -d ${D}/${INSTALL_PATH}/examples/ - for dirname in ${B}/examples/dpdk-* - do - if [ ! -d ${dirname} ] && [ -x ${dirname} ]; then - install -m 0755 ${dirname} ${D}/${INSTALL_PATH}/examples/ - fi - done - -} - -PACKAGES =+ "${PN}-examples ${PN}-tools" - -FILES:${PN} += " ${bindir}/dpdk-testpmd \ - ${bindir}/dpdk-proc-info \ - ${libdir}/*.so* \ - ${libdir}/dpdk/pmds-22.0/*.so* \ - " -FILES:${PN}-examples = " \ - ${prefix}/share/dpdk/examples/* \ - " - -FILES:${PN}-tools = " \ - ${bindir}/dpdk-pdump \ - ${bindir}/dpdk-test \ - ${bindir}/dpdk-test-* \ - ${bindir}/dpdk-*.py \ - " - -CVE_PRODUCT = "data_plane_development_kit" - -INSANE_SKIP:${PN} = "dev-so" -- cgit v1.2.3-54-g00ecf From 14b26cb099f3622023c9b08392f56ef8046f80a3 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Thu, 8 Jun 2023 13:04:28 -0700 Subject: meta-dpdk: Remove dpdk-module, module is part of linux-xlnx Signed-off-by: Mark Hatle --- .../recipes-extended/dpdk/dpdk-module_22.07.0.bb | 58 ----------------- .../dpdk/dpdk/0001-Makefile-add-makefile.patch | 74 ---------------------- 2 files changed, 132 deletions(-) delete mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk-module_22.07.0.bb delete mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk/0001-Makefile-add-makefile.patch diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk-module_22.07.0.bb b/meta-dpdk/recipes-extended/dpdk/dpdk-module_22.07.0.bb deleted file mode 100644 index 3fcbf1ec..00000000 --- a/meta-dpdk/recipes-extended/dpdk/dpdk-module_22.07.0.bb +++ /dev/null @@ -1,58 +0,0 @@ -include dpdk.inc - -FILESEXTRAPATHS:prepend := "${THISDIR}/dpdk:" - -SRC_URI = "git://dpdk.org/git/dpdk;branch=${BRANCH};protocol=https \ - file://0001-Makefile-add-makefile.patch \ -" - -BRANCH = "releases" -SRCREV = "4fceceed5b5e9fbf04acffd66239c79d81e79260" -S = "${WORKDIR}/git" - -inherit module - -#kernel module needs 'rte_build_config.h', which is generated at buid time -DEPENDS += "dpdk" - -COMPATIBLE_MACHINE = "null" -COMPATIBLE_HOST:libc-musl:class-target = "null" -COMPATIBLE_HOST:linux-gnux32 = "null" - -export S -export STAGING_KERNEL_DIR -export STAGING_INCDIR -export INSTALL_MOD_DIR="dpdk" - -do_configure[noexec] = "1" - -do_compile() { - cd ${S}/kernel/linux/kni - unset CFLAGS CPPFLAGS CXXFLAGS LDFLAGS - oe_runmake KERNEL_PATH=${STAGING_KERNEL_DIR} \ - KERNEL_VERSION=${KERNEL_VERSION} \ - CC="${KERNEL_CC}" LD="${KERNEL_LD}" \ - AR="${KERNEL_AR}" \ - O=${STAGING_KERNEL_BUILDDIR} \ - KBUILD_EXTRA_SYMBOLS="${KBUILD_EXTRA_SYMBOLS}" \ - ${MAKE_TARGETS} -} - -do_install() { - cd ${S}/kernel/linux/kni - unset CFLAGS CPPFLAGS CXXFLAGS LDFLAGS - oe_runmake DEPMOD=echo MODLIB="${D}${nonarch_base_libdir}/modules/${KERNEL_VERSION}" \ - INSTALL_FW_PATH="${D}${nonarch_base_libdir}/firmware" \ - CC="${KERNEL_CC}" LD="${KERNEL_LD}" \ - O=${STAGING_KERNEL_BUILDDIR} \ - ${MODULES_INSTALL_TARGET} -} - -# CVE-2021-3839 has been fixed by commit 4c40d30d2b in 21.11.1 -# NVD database is incomplete -# CVE-2022-0669 has been fixed by commit 6cb68162e4 in 21.11.1 -# NVD database is incomplete -CVE_CHECK_IGNORE += "\ - CVE-2021-3839 \ - CVE-2022-0669 \ -" diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk/0001-Makefile-add-makefile.patch b/meta-dpdk/recipes-extended/dpdk/dpdk/0001-Makefile-add-makefile.patch deleted file mode 100644 index 18b75fb6..00000000 --- a/meta-dpdk/recipes-extended/dpdk/dpdk/0001-Makefile-add-makefile.patch +++ /dev/null @@ -1,74 +0,0 @@ -From 768cef60957d5e840071d1d6514d4add3f3c2904 Mon Sep 17 00:00:00 2001 -From: Naveen Saini -Date: Wed, 14 Apr 2021 17:25:53 +0800 -Subject: [PATCH] Makefile: add makefile - -Current meson build for kernel module build does not fit in Yocto. -So added Makefile to build rte_kni.ko - -Upstream-Status: Inappropriate - -Signed-off-by: Naveen Saini ---- - kernel/linux/kni/Kbuild | 6 ------ - kernel/linux/kni/Makefile | 35 +++++++++++++++++++++++++++++++++++ - 2 files changed, 35 insertions(+), 6 deletions(-) - delete mode 100644 kernel/linux/kni/Kbuild - create mode 100644 kernel/linux/kni/Makefile - -diff --git a/kernel/linux/kni/Kbuild b/kernel/linux/kni/Kbuild -deleted file mode 100644 -index e5452d6c00..0000000000 ---- a/kernel/linux/kni/Kbuild -+++ /dev/null -@@ -1,6 +0,0 @@ --# SPDX-License-Identifier: BSD-3-Clause --# Copyright(c) 2018 Luca Boccassi -- --ccflags-y := $(MODULE_CFLAGS) --obj-m := rte_kni.o --rte_kni-y := $(patsubst $(src)/%.c,%.o,$(wildcard $(src)/*.c)) -diff --git a/kernel/linux/kni/Makefile b/kernel/linux/kni/Makefile -new file mode 100644 -index 0000000000..19897ee06e ---- /dev/null -+++ b/kernel/linux/kni/Makefile -@@ -0,0 +1,35 @@ -+# -+# Makefile for building rte_kni.ko -+# -+MODULE_CFLAGS += -include $(S)/config/rte_config.h -+MODULE_CFLAGS += -I$(S)/lib/librte_eal/include -+MODULE_CFLAGS += -I$(S)/lib/librte_kni -+MODULE_CFLAGS += -I$(S)/kernel/linux/kni -+MODULE_CFLAGS += -I$(S) -+MODULE_CFLAGS += -I$(STAGING_INCDIR) -+ -+rte_kni-objs = kni_misc.o kni_net.o -+ -+ccflags-y := $(MODULE_CFLAGS) -+cflags-y := $(MODULE_CFLAGS) -+obj-m := rte_kni.o -+ -+ -+KERNEL_MAKE_OPTS := -C $(STAGING_KERNEL_DIR) M=$(CURDIR) -+ifneq ($(ARCH),) -+KERNEL_MAKE_OPTS += ARCH=$(ARCH) -+endif -+ifneq ($(CROSS_COMPILE),) -+KERNEL_MAKE_OPTS += CROSS_COMPILE=$(CROSS_COMPILE) -+endif -+ -+build: -+ $(MAKE) $(KERNEL_MAKE_OPTS) modules -+ -+install: modules_install -+ -+modules_install: -+ $(MAKE) $(KERNEL_MAKE_OPTS) modules_install -+ -+clean: -+ $(MAKE) $(KERNEL_MAKE_OPTS) clean --- -2.17.1 - -- cgit v1.2.3-54-g00ecf From c783a32f8c6a9c3b40db1b6c67feca1e4bd0f7eb Mon Sep 17 00:00:00 2001 From: John Toomey Date: Thu, 8 Jun 2023 13:20:00 -0700 Subject: meta-dpdk: dpdk update to AMD forked code base 22.11.0 Update the dpdk recipe to work with the internal forked version of dpdk - dpdk version set to 22.11 - remove unnecesasry patch - remove CVE_CHECK_IGNOREs as these CVEs appear to have been patched - modify the meson settings to build the correct target - install the correct files Signed-off-by: John Toomey Restructed to match the current langdale version Signed-off-by: Mark Hatle --- meta-dpdk/recipes-extended/dpdk/dpdk.inc | 2 +- ...ch-and-mcpu-already-passed-by-Yocto-21.11.patch | 38 ----------- meta-dpdk/recipes-extended/dpdk/dpdk_22.07.0.bb | 76 ---------------------- meta-dpdk/recipes-extended/dpdk/dpdk_22.11.0.bb | 72 ++++++++++++++++++++ 4 files changed, 73 insertions(+), 115 deletions(-) delete mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk/0001-meson.build-march-and-mcpu-already-passed-by-Yocto-21.11.patch delete mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk_22.07.0.bb create mode 100644 meta-dpdk/recipes-extended/dpdk/dpdk_22.11.0.bb diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk.inc b/meta-dpdk/recipes-extended/dpdk/dpdk.inc index b5c14984..9ce9737e 100644 --- a/meta-dpdk/recipes-extended/dpdk/dpdk.inc +++ b/meta-dpdk/recipes-extended/dpdk/dpdk.inc @@ -1,4 +1,4 @@ -DESCRIPTION = "Intel(r) Data Plane Development Kit" +DESCRIPTION = "Data Plane Development Kit" HOMEPAGE = "http://dpdk.org" LICENSE = "BSD-3-Clause & LGPL-2.1-only & GPL-2.0-only" diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk/0001-meson.build-march-and-mcpu-already-passed-by-Yocto-21.11.patch b/meta-dpdk/recipes-extended/dpdk/dpdk/0001-meson.build-march-and-mcpu-already-passed-by-Yocto-21.11.patch deleted file mode 100644 index a74869de..00000000 --- a/meta-dpdk/recipes-extended/dpdk/dpdk/0001-meson.build-march-and-mcpu-already-passed-by-Yocto-21.11.patch +++ /dev/null @@ -1,38 +0,0 @@ -From 9aeb0bedf63f0f8f132194705b63ed0045452354 Mon Sep 17 00:00:00 2001 -From: Naveen Saini -Date: Wed, 8 Dec 2021 11:48:27 +0800 -Subject: [PATCH] meson.build:-march and -mcpu already passed by Yocto - -Upstream-Status: Inappropriate - -Signed-off-by: Naveen Saini ---- - config/meson.build | 12 ++++++------ - 1 file changed, 6 insertions(+), 6 deletions(-) - -diff --git a/config/meson.build b/config/meson.build -index 805d5d51d0..620331a736 100644 ---- a/config/meson.build -+++ b/config/meson.build -@@ -127,12 +127,12 @@ dpdk_conf.set('RTE_MACHINE', cpu_instruction_set) - machine_args = [] - - # ppc64 does not support -march= at all, use -mcpu and -mtune for that --if host_machine.cpu_family().startswith('ppc') -- machine_args += '-mcpu=' + cpu_instruction_set -- machine_args += '-mtune=' + cpu_instruction_set --else -- machine_args += '-march=' + cpu_instruction_set --endif -+#if host_machine.cpu_family().startswith('ppc') -+# machine_args += '-mcpu=' + cpu_instruction_set -+# machine_args += '-mtune=' + cpu_instruction_set -+#else -+# machine_args += '-march=' + cpu_instruction_set -+#endif - - toolchain = cc.get_id() - dpdk_conf.set_quoted('RTE_TOOLCHAIN', toolchain) --- -2.17.1 - diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk_22.07.0.bb b/meta-dpdk/recipes-extended/dpdk/dpdk_22.07.0.bb deleted file mode 100644 index 9f1843bb..00000000 --- a/meta-dpdk/recipes-extended/dpdk/dpdk_22.07.0.bb +++ /dev/null @@ -1,76 +0,0 @@ -include dpdk.inc - -SRC_URI = "git://dpdk.org/git/dpdk;branch=${BRANCH};protocol=https \ - file://0001-meson.build-march-and-mcpu-already-passed-by-Yocto-21.11.patch \ -" - -BRANCH = "releases" -SRCREV = "4fceceed5b5e9fbf04acffd66239c79d81e79260" -S = "${WORKDIR}/git" - -# CVE-2021-3839 has been fixed by commit 4c40d30d2b in 21.11.1 -# NVD database is incomplete -# CVE-2022-0669 has been fixed by commit 6cb68162e4 in 21.11.1 -# NVD database is incomplete -CVE_CHECK_IGNORE += "\ - CVE-2021-3839 \ - CVE-2022-0669 \ -" - -# kernel module is provide by dpdk-module recipe, so disable here -EXTRA_OEMESON = " -Denable_kmods=false \ - -Dexamples=all \ -" - -COMPATIBLE_MACHINE = "null" -COMPATIBLE_HOST:libc-musl:class-target = "null" -COMPATIBLE_HOST:linux-gnux32 = "null" - -PACKAGECONFIG ??= " " -PACKAGECONFIG[afxdp] = ",,libbpf xdp-tools" -PACKAGECONFIG[libvirt] = ",,libvirt" - -RDEPENDS:${PN} += "pciutils python3-core" -RDEPENDS:${PN}-examples += "bash" -DEPENDS = "numactl python3-pyelftools-native" - -inherit meson pkgconfig - -INSTALL_PATH = "${prefix}/share/dpdk" - -do_install:append(){ - # remove source files - rm -rf ${D}/${INSTALL_PATH}/examples/* - - # Install examples - install -m 0755 -d ${D}/${INSTALL_PATH}/examples/ - for dirname in ${B}/examples/dpdk-* - do - if [ ! -d ${dirname} ] && [ -x ${dirname} ]; then - install -m 0755 ${dirname} ${D}/${INSTALL_PATH}/examples/ - fi - done - -} - -PACKAGES =+ "${PN}-examples ${PN}-tools" - -FILES:${PN} += " ${bindir}/dpdk-testpmd \ - ${bindir}/dpdk-proc-info \ - ${libdir}/*.so* \ - ${libdir}/dpdk/pmds-22.0/*.so* \ - " -FILES:${PN}-examples = " \ - ${prefix}/share/dpdk/examples/* \ - " - -FILES:${PN}-tools = " \ - ${bindir}/dpdk-pdump \ - ${bindir}/dpdk-test \ - ${bindir}/dpdk-test-* \ - ${bindir}/dpdk-*.py \ - " - -CVE_PRODUCT = "data_plane_development_kit" - -INSANE_SKIP:${PN} = "dev-so" diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk_22.11.0.bb b/meta-dpdk/recipes-extended/dpdk/dpdk_22.11.0.bb new file mode 100644 index 00000000..a79b0511 --- /dev/null +++ b/meta-dpdk/recipes-extended/dpdk/dpdk_22.11.0.bb @@ -0,0 +1,72 @@ +include dpdk.inc + +SRC_URI = "git://github.com/Xilinx-CNS/cns-dpdk-next-sfc;branch=${BRANCH};protocol=https \ +" + +BRANCH = "cdx_22.11" +SRCREV = "e0cfb566341221dd08a5a0d7fdefce5432b41735" +S = "${WORKDIR}/git" + +# kernel module is provide by dpdk-module recipe, so disable here +EXTRA_OEMESON = " \ + -Denable_kmods=false \ + -Dexamples=cdma_demo,cdx_test,mcdi/mcdi_test,mcdi/mcdi_init \ +" + +COMPATIBLE_MACHINE = "null" +COMPATIBLE_MACHINE:versal-net = "${MACHINE}" +COMPATIBLE_HOST:libc-musl:class-target = "null" +COMPATIBLE_HOST:linux-gnux32 = "null" + +PACKAGECONFIG ??= " " +PACKAGECONFIG[afxdp] = ",,libbpf xdp-tools" +PACKAGECONFIG[libvirt] = ",,libvirt" + +RDEPENDS:${PN} += "pciutils python3-core" +RDEPENDS:${PN}-examples += "bash" +DEPENDS = "numactl python3-pyelftools-native" + +inherit meson pkgconfig + +INSTALL_PATH = "${prefix}/share/dpdk" + +do_write_config:append(){ + sed -i "/\[properties\]/a platform = \'cdx\'" ${WORKDIR}/meson.cross +} + +do_install:append(){ + # remove source files + rm -rf ${D}/${INSTALL_PATH}/examples/* + + # Install examples + install -m 0755 -d ${D}/${INSTALL_PATH}/examples/ + for dirname in ${B}/examples/dpdk-* + do + if [ ! -d ${dirname} ] && [ -x ${dirname} ]; then + install -m 0755 ${dirname} ${D}/${INSTALL_PATH}/examples/ + fi + done + +} + +PACKAGES =+ "${PN}-examples ${PN}-tools" + +FILES:${PN} += " ${bindir}/dpdk-testpmd \ + ${bindir}/dpdk-proc-info \ + ${libdir}/*.so* \ + ${libdir}/dpdk/pmds-22.0/*.so* \ + " +FILES:${PN}-examples = " \ + ${INSTALL_PATH}/examples/* \ + " + +FILES:${PN}-tools = " \ + ${bindir}/dpdk-pdump \ + ${bindir}/dpdk-test \ + ${bindir}/dpdk-test-* \ + ${bindir}/dpdk-*.py \ + " + +CVE_PRODUCT = "data_plane_development_kit" + +INSANE_SKIP:${PN} = "dev-so" -- cgit v1.2.3-54-g00ecf From 804deab428acddbc264aab2428b7e0f149ddf8a0 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Thu, 8 Jun 2023 13:27:37 -0700 Subject: meta-dpdk: Add dpdk to petalinux-image-everything Signed-off-by: Mark Hatle --- meta-dpdk/conf/layer.conf | 2 ++ .../petalinux/recipes-core/images/petalinux-image-everything.bbappend | 2 ++ 2 files changed, 4 insertions(+) create mode 100644 meta-dpdk/dynamic-layers/petalinux/recipes-core/images/petalinux-image-everything.bbappend diff --git a/meta-dpdk/conf/layer.conf b/meta-dpdk/conf/layer.conf index 45e5bd9d..2b33f7a9 100644 --- a/meta-dpdk/conf/layer.conf +++ b/meta-dpdk/conf/layer.conf @@ -19,4 +19,6 @@ LAYERSERIES_COMPAT_dpdk = "kirkstone langdale" BBFILES_DYNAMIC += " \ clang-layer:${LAYERDIR}/dynamic-layers/clang-layer/*/*/*.bb \ clang-layer:${LAYERDIR}/dynamic-layers/clang-layer/*/*/*.bbappend \ + petalinux:${LAYERDIR}/dynamic-layers/petalinux/recipes-*/*/*.bb \ + petalinux:${LAYERDIR}/dynamic-layers/petalinux/recipes-*/*/*.bbappend \ " diff --git a/meta-dpdk/dynamic-layers/petalinux/recipes-core/images/petalinux-image-everything.bbappend b/meta-dpdk/dynamic-layers/petalinux/recipes-core/images/petalinux-image-everything.bbappend new file mode 100644 index 00000000..025c3efc --- /dev/null +++ b/meta-dpdk/dynamic-layers/petalinux/recipes-core/images/petalinux-image-everything.bbappend @@ -0,0 +1,2 @@ +COMMON_INSTALL:append:versal-net = " dpdk" + -- cgit v1.2.3-54-g00ecf From 43940886427f75956d87970aa54928817d37f764 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Sat, 10 Jun 2023 12:45:34 +0530 Subject: Updated SRCREV of arm-trusted-firmware for 2023.2_6375 fix(zynqmp): handling of type el3 interrrupts Signed-off-by: Siva Addepalli --- .../recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb index 3773b272..94521854 100644 --- a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb @@ -1,5 +1,5 @@ ATF_VERSION = "2.8" -SRCREV = "e0ef499ce3466f9ddc616a03a76c523ddbdaec99" +SRCREV = "2ace299cae4ebdf65715f16daf8ba015a939398f" BRANCH = "xlnx_rebase_v2.8" LIC_FILES_CHKSUM = "file://license.rst;md5=1dd070c98a281d18d9eefd938729b031" -- cgit v1.2.3-54-g00ecf From a4943e815298dd5558214d4a406fbd37362435e0 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 12 Jun 2023 15:15:18 +0530 Subject: Updated SRCREV of arm-trusted-firmware for 2023.2_5007 fix(zynqmp): fix sdei arm_validate_ns_entrypoint() chore(xilinx): replace ATF with TFA Signed-off-by: Siva Addepalli --- .../recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb index 94521854..8bf2f9fc 100644 --- a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb @@ -1,5 +1,5 @@ ATF_VERSION = "2.8" -SRCREV = "2ace299cae4ebdf65715f16daf8ba015a939398f" +SRCREV = "ed3c4f0532b7d10ffd16a9c63d513c23dbfa28eb" BRANCH = "xlnx_rebase_v2.8" LIC_FILES_CHKSUM = "file://license.rst;md5=1dd070c98a281d18d9eefd938729b031" -- cgit v1.2.3-54-g00ecf From 4153ebc1a0889e63cf9a0c854d46fbaafadd7f8d Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 12 Jun 2023 15:20:06 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_6275 drivers: emacps: format xemacps.h file sw_services: xilmailbox: Fix code formatting issues with checkpatch xilmailbox: Add support for system device-tree flow for example sw_services: xilmailbox: Add support for system device-tree flow ipipsu: Fix code formatting issues with checkpatch ipipsu: Add support for system device-tree flow Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 8259f0a7..3edd5b3e 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "ce7bee8b867f4a7c381aaa5a784fabec3299a8f5" +ESW_REV[2023.2] = "ca05b7eb25b1584b07e8d1090489e2b67a4e18f9" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 78e0dd6cb04f19431955fb904df3b2648f35a7ae Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Wed, 14 Jun 2023 12:45:11 +0530 Subject: Updated Commit ID rootfsconfig: Enable dosfstools in Gen-Machine-Conf Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 79ed65ed..e641aa74 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 79ed65ed03e1d1aefb74c189d53159caebe654e7 +Subproject commit e641aa74f67867cb127d6431d78af3a76654a391 -- cgit v1.2.3-54-g00ecf From 4514c9d3fccb8fc572aa1c81478fb4c333f4f348 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 14 Jun 2023 15:53:33 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_3055 xilpki: Fix issues with pki mux selection/deselection logic sw_services: xilmailbox: Fix MISRA-C violation for Rule 10.3 Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 3edd5b3e..f1672c1d 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "ca05b7eb25b1584b07e8d1090489e2b67a4e18f9" +ESW_REV[2023.2] = "9e1225f624b31a23016776e4c0d7a52ca2595734" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From b8ea242cd7328d1cb4b6b8fd6f2ba0bd29d68585 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 14 Jun 2023 17:30:27 +0530 Subject: Updated SRCREV of u-boot-xlnx for 2023.2_5419 watchdog: versal: Use new compatible xlnx,versal-wwdt arm64: zynqmp: In sc-revB dts add mtd partition for secure OS storage area mtd: spi-nor: Send write enable cmd before write to SR2 usb: xhci: Workaround to fix the USB halted endpoint issues arm64: dts: zynqmp: make hw-ecc as the default ecc mode Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 63778c9e..814b4982 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "870d031e3f56631086526002f5c56a1c57b664c3" +SRCREV = "1514a28b4640ef3ac034246cdbfd8099aceac815" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From 8e2f81f441d972ec4bf44a6942a96b19bd60042c Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 16 Jun 2023 19:31:21 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_9439 xilplmi: Log CDO command offset in GSW register freertos10_xilinx: Fix tick interrupt clearing logic cleanup xilnvm: Add support for Programming PUF secure control bits xilpuf: Add support for Programming PUF secure control bits lib: sw_services: xilfpga: Add support for system device-tree flow xilpm: versal_net: server: increase size of node buffer drivers: Update addtogroup to fix doxygen warnings. sw_apps: zynqmp_fsbl:versal_plm: Add support of parallel build ospipsv: Fix code format issues ospipsv: Add support for system device-tree flow for examples ospipsv: Add support for system device-tree flow sw_services: xilsecure:Support for P-256 sw_apps: imgsel: Vless build failure fix emacps: Support device-tree flow qspipsu: Fix code format issues qspipsu: Add support for system device-tree flow for example qspipsu: Add support for system device-tree flow sw_services:xilloader:Deprecate copy to memory feature dfeprach: Support dynamic and static modes of operation Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index f1672c1d..304111ef 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "9e1225f624b31a23016776e4c0d7a52ca2595734" +ESW_REV[2023.2] = "24d280717af8875360f9bf903bd3d7a74274ada4" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 99c7d518d5dcce6399f63f337fb396b92d5bfc09 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Sat, 17 Jun 2023 12:51:45 +0530 Subject: Updated SRCREV of dts for 2023.2_7115 versal: Add support for xcve2602 versal: xcvh1582: Connect the CPM5 devices versal: Add support for xcvh1542 versal: Add support for xcvh1522 versal: Add support for xcvp1002 versal: Add support for xqvp1502 versal: Add support for xcvp1552 versal-pmc-sec: Change KSB to use the PMX EFUSE cache and controller Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb index bc45e330..03f23db1 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb @@ -2,5 +2,5 @@ require qemu-devicetrees.inc BRANCH ?= "master" -SRCREV ?= "987676c3c781d261279e00c0d21e5d1d0638a756" +SRCREV ?= "db7644d497c62d029cf60161a2a784a50a96833b" -- cgit v1.2.3-54-g00ecf From 00a73f67f63967116d7045c698989a4037f86ec2 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Sat, 17 Jun 2023 12:46:01 +0530 Subject: Updated SRCREV of qemu for 2023.2_6707 hw/nvram: pmx-efuse: Build Xilinx PMX eFuse devices hw/nvram: pmx-efuse: Introduce Xilinx PMX eFuse cache hw/nvram: pmx-efuse: Introduce Xilinx PMX eFuse controller hw/nvram: pmx-efuse: Introduce Xilinx PMX eFuse tiles hw/nvram: Add get_puf/_sysmon abstractions to Xilinx EFuse xlnx-efuse: Add get_puf/_sysmon abstractions Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc index f18ea094..872fb9d5 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc @@ -1,3 +1,3 @@ XILINX_QEMU_VERSION = "v7.1.0" BRANCH = "master" -SRCREV = "174fc3b9c65ab66132d3ddf1e05c0dfc14a8edfd" +SRCREV = "7d4bc887fbcaa67a6bc746215022756f75630ee2" -- cgit v1.2.3-54-g00ecf From c40c4dfc94ebf78f27b7131d0630008b924c1fab Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Thu, 15 Jun 2023 11:01:31 -0500 Subject: linux-xlnx: Updated SRCREV for 2023.2_3163 Update to 6.1.30 Changelog: mtd: rawnand: arasan: Use on-host ecc engine in hw-ecc mode arm64: dts: zynqmp: make hw-ecc as the default ecc mode arm64: zynqmp: In sc-revB dts add mtd partition for secure OS storage area Merge tag 'v6.1.30' into xlnx_rebase_v6.1_LTS arm64: configs: enable GPIO_PCA9570 in xilinx_zynqmp_defconfig Revert "mtd: rawnand: arasan: Prevent an unsupported configuration" dt-bindings: watchdog: cdns,wdt-r1p2: Convert cadence watchdog to yaml Revert "misc: xaiengine: disable clocks for releasing tiles" Linux 6.1.30 drm/amdgpu: reserve the old gc_11_0_*_mes.bin drm/amd/amdgpu: introduce gc_*_mes_2.bin v2 drm/amdgpu: declare firmware for new MES 11.0.4 crypto: testmgr - fix RNG performance in fuzz tests remoteproc: imx_dsp_rproc: Fix kernel test robot sparse warning rethook, fprobe: do not trace rethook related functions rethook: use preempt_{disable, enable}_notrace in rethook_trampoline_handler arm64: mte: Do not set PG_mte_tagged if tags were not initialized s390/qdio: fix do_sqbs() inline assembly constraint s390/crypto: use vector instructions only if available for ChaCha20 s390/dasd: fix command reject error on ESE devices nilfs2: fix use-after-free bug of nilfs_root in nilfs_evict_inode() powerpc/64s/radix: Fix soft dirty tracking tpm/tpm_tis: Disable interrupts for more Lenovo devices powerpc/iommu: Incorrect DDW Table is referenced for SR-IOV device powerpc/iommu: DMA address offset is incorrectly calculated with 2MB TCEs dt-bindings: ata: ahci-ceva: Cover all 4 iommus entries drm/amdgpu/gfx11: update gpu_clock_counter logic drm/amdgpu: refine get gpu clock counter method drm/amdgpu/gfx11: Adjust gfxoff before powergating on gfx11 as well drm/amdgpu/gfx10: Disable gfxoff before disabling powergating. drm/amdgpu/gmc11: implement get_vbios_fb_size() drm/amd/pm: fix possible power mode mismatch between driver and PMFW ceph: force updating the msg pointer in non-split case vc_screen: reload load of struct vc_data pointer in vcs_write() to avoid UAF thunderbolt: Clear registers properly when auto clear isn't in use serial: qcom-geni: fix enabling deactivated interrupt serial: 8250_exar: Add support for USR298x PCI Modems serial: Add support for Advantech PCI-1611U card mm: fix zswap writeback race condition maple_tree: make maple state reusable after mas_empty_area() statfs: enforce statfs[64] structure initialization KVM: Fix vcpu_array[0] races ksmbd: fix global-out-of-bounds in smb2_find_context_vals ksmbd: fix wrong UserName check in session_user ksmbd: allocate one more byte for implied bcc[0] ksmbd: smb2: Allow messages padded to 8byte boundary SMB3: drop reference to cfile before sending oplock break SMB3: Close all deferred handles of inode in case of handle lease break wifi: rtw88: use work to update rate to avoid RCU warning can: kvaser_pciefd: Disable interrupts in probe error path can: kvaser_pciefd: Do not send EFLUSH command on TFD interrupt can: kvaser_pciefd: Clear listen-only bit if not explicitly requested can: kvaser_pciefd: Empty SRB buffer in probe can: kvaser_pciefd: Call request_irq() before enabling interrupts can: kvaser_pciefd: Set CAN_STATE_STOPPED in kvaser_pciefd_stop() can: isotp: recvmsg(): allow MSG_CMSG_COMPAT flag can: j1939: recvmsg(): allow MSG_CMSG_COMPAT flag ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 ALSA: hda/realtek: Add quirk for Clevo L140AU ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table ALSA: hda: Fix Oops by 9.1 surround channel names xhci: Fix incorrect tracking of free space on transfer rings xhci-pci: Only run d3cold avoidance quirk for s2idle Revert "usb: gadget: udc: core: Invoke usb_gadget_connect only when started" Revert "usb: gadget: udc: core: Prevent redundant calls to pullup" usb: typec: altmodes/displayport: fix pin_assignment_show usb: gadget: u_ether: Fix host MAC address case usb: dwc3: debugfs: Resume dwc3 before accessing registers usb: dwc3: gadget: Improve dwc3_gadget_suspend() and dwc3_gadget_resume() USB: UHCI: adjust zhaoxin UHCI controllers OverCurrent bit value usb-storage: fix deadlock when a scsi command timeouts more than once USB: usbtmc: Fix direction for 0-length ioctl control messages ALSA: usb-audio: Add a sample rate workaround for Line6 Pod Go bridge: always declare tunnel functions netfilter: nft_set_rbtree: fix null deref on element insertion netfilter: nf_tables: fix nft_trans type confusion net: selftests: Fix optstring net: pcs: xpcs: fix C73 AN not getting enabled net: wwan: iosm: fix NULL pointer dereference when removing device vlan: fix a potential uninit-value in vlan_dev_hard_start_xmit() igb: fix bit_shift to be in [1..8] range net: dsa: mv88e6xxx: Fix mv88e6393x EPC write command offset cassini: Fix a memory leak in the error handling path of cas_init_one() tun: Fix memory leak for detached NAPI queue. net: tun: rebuild error handling in tun_get_user scsi: storvsc: Don't pass unused PFNs to Hyper-V host wifi: iwlwifi: mvm: don't trust firmware n_channels wifi: iwlwifi: mvm: fix OEM's name in the tas approved list wifi: iwlwifi: fix OEM's name in the ppag approved list wifi: iwlwifi: fw: fix DBGI dump wifi: iwlwifi: mvm: fix cancel_delayed_work_sync() deadlock wifi: mac80211: Abort running color change when stopping the AP wifi: mac80211: fix min center freq offset tracing wifi: mac80211: fortify the spinlock against deadlock by interrupt wifi: cfg80211: Drop entries with invalid BSSIDs in RNR ice: Fix ice VF reset during iavf initialization ice: introduce clear_reset_state operation net: bcmgenet: Restore phy_stop() depending upon suspend/close net: bcmgenet: Remove phy_stop() from bcmgenet_netif_stop() can: dev: fix missing CAN XL support in can_put_echo_skb() s390/cio: include subchannels without devices also for evaluation tipc: check the bearer min mtu properly when setting it by netlink tipc: do not update mtu if msg_max is too small in mtu negotiation tipc: add tipc_bearer_min_mtu to calculate min mtu virtio_net: Fix error unwinding of XDP initialization virtio-net: Maintain reverse cleanup order net: nsh: Use correct mac_offset to unwind gso skb in nsh_gso_segment() drm/exynos: fix g2d_open/close helper function definitions ASoC: SOF: topology: Fix logic for copying tuples ASoC: mediatek: mt8186: Fix use-after-free in driver remove path SUNRPC: Fix trace_svc_register() call site SUNRPC: always free ctxt when freeing deferred request SUNRPC: double free xprt_ctxt while still in use media: netup_unidvb: fix use-after-free at del_timer() net: hns3: fix reset timeout when enable full VF net: hns3: fix reset delay time to avoid configuration timeout net: hns3: fix sending pfc frames after reset issue net: hns3: fix output information incomplete for dumping tx queue info with debugfs net: dsa: rzn1-a5psw: disable learning for standalone ports net: dsa: rzn1-a5psw: fix STP states handling net: dsa: rzn1-a5psw: enable management frames for CPU port erspan: get the proto with the md version for collect_md serial: 8250_bcm7271: fix leak in `brcmuart_probe` serial: 8250_bcm7271: balance clk_enable calls serial: arc_uart: fix of_iomap leak in `arc_serial_probe` tcp: fix possible sk_priority leak in tcp_v4_send_reset() vsock: avoid to close connected socket after the timeout sfc: disable RXFCS and RXALL features by default ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 wifi: mt76: connac: fix stats->tx_bytes calculation ALSA: firewire-digi00x: prevent potential use after free net: phy: dp83867: add w/a for packet errors seen with short cables net: fec: Better handle pm_runtime_get() failing in .remove() selftets: seg6: disable rp_filter by default in srv6_end_dt4_l3vpn_test selftests: seg6: disable DAD on IPv6 router cfg for srv6_end_dt4_l3vpn_test drm/msm: Fix submit error-path leaks af_key: Reject optional tunnel/BEET mode templates in outbound policies xfrm: Reject optional tunnel/BEET mode templates in outbound policies cpupower: Make TSC read per CPU for Mperf monitor ASoC: fsl_micfil: Fix error handler with pm_runtime_enable platform: Provide a remove callback that returns no value dt-bindings: display/msm: dsi-controller-main: Document qcom, master-dsi and qcom, sync-dual-dsi drm/msm/dpu: Remove duplicate register defines from INTF drm/msm/dpu: Move non-MDP_TOP INTF_INTR offsets out of hwio header drm/msm/dpu: Assign missing writeback log_mask drm/msm/dp: unregister audio driver during unbind Revert "Fix XFRM-I support for nested ESP tunnels" xfrm: don't check the default policy if the policy allows the packet drm/amdgpu: drop gfx_v11_0_cp_ecc_error_irq_funcs platform/x86: hp-wmi: add micmute to hp_wmi_keymap struct platform/x86: Move existing HP drivers to a new hp subdir parisc: Replace regular spinlock with spin_trylock on panic path mfd: intel-lpss: Add Intel Meteor Lake PCH-S LPSS PCI IDs mfd: dln2: Fix memory leak in dln2_probe() mfd: intel_soc_pmic_chtwc: Add Lenovo Yoga Book X90F to intel_cht_wc_models soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow soundwire: qcom: gracefully handle too many ports in DT phy: st: miphy28lp: use _poll_timeout functions for waits soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 recordmcount: Fix memory leaks in the uwrite function lkdtm/stackleak: Fix noinstr violation sched: Fix KCSAN noinstr violation mcb-pci: Reallocate memory region to avoid memory overlapping serial: 8250: Reinit port->pm on port specific driver unbind usb: typec: tcpm: fix multiple times discover svids error HID: wacom: generic: Set battery quirk only when we see battery data HID: Ignore battery for ELAN touchscreen on ROG Flow X13 GV301RA HID: apple: Set the tilde quirk flag on the Geyser 3 ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x ASoC: amd: Add Dell G15 5525 to quirks list ALSA: hda: LNL: add HD Audio PCI ID usb: typec: ucsi: acpi: add quirk for ASUS Zenbook UM325 spi: spi-imx: fix MX51_ECSPI_* macros when cs > 3 HID: logitech-hidpp: Reconcile USB and Unifying serials HID: logitech-hidpp: Don't use the USB serial for USB devices ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) staging: axis-fifo: initialize timeouts in init only HID: apple: Set the tilde quirk flag on the Geyser 4 and later staging: rtl8192e: Replace macro RTL_PCI_DEVICE with PCI_DEVICE Bluetooth: btrtl: Add the support for RTL8851B Bluetooth: L2CAP: fix "bad unlock balance" in l2cap_disconnect_rsp Bluetooth: Add new quirk for broken set random RPA timeout for ATS2851 Bluetooth: hci_bcm: Fall back to getting bdaddr from EFI if not set Bluetooth: btintel: Add LE States quirk support Bluetooth: btrtl: check for NULL in btrtl_set_quirks() Bluetooth: Improve support for Actions Semi ATS2851 based devices Bluetooth: btrtl: add support for the RTL8723CS Bluetooth: Add new quirk for broken local ext features page 2 Bluetooth: btusb: Add new PID/VID 04ca:3801 for MT7663 ipvs: Update width of source for ip_vs_sync_conn_options nbd: fix incomplete validation of ioctl arg wifi: ath11k: Fix SKB corruption in REO destination ring wifi: iwlwifi: dvm: Fix memcpy: detected field-spanning write backtrace null_blk: Always check queue mode setting from configfs wifi: iwlwifi: fix iwl_mvm_max_amsdu_size() for MLO wifi: ath11k: Ignore frags from uninitialized peer in dp. block, bfq: Fix division by zero error on zero wsum wifi: iwlwifi: mvm: fix ptk_pn memory leak wifi: iwlwifi: pcie: Fix integer overflow in iwl_write_to_user_buf wifi: iwlwifi: add a new PCI device ID for BZ device wifi: iwlwifi: pcie: fix possible NULL pointer dereference md: fix soft lockup in status_resync bpf: Add preempt_count_{sub,add} into btf id deny list samples/bpf: Fix fout leak in hbm's run_bpf_prog f2fs: fix to check readonly condition correctly f2fs: fix to drop all dirty pages during umount() if cp_error is set f2fs: Fix system crash due to lack of free space in LFS crypto: jitter - permanent and intermittent health errors ext4: Fix best extent lstart adjustment logic in ext4_mb_new_inode_pa() ext4: set goal start correctly in ext4_mb_normalize_request scsi: ufs: ufs-pci: Add support for Intel Lunar Lake gfs2: Fix inode height consistency check scsi: message: mptlan: Fix use after free bug in mptlan_remove() due to race condition lib: cpu_rmap: Avoid use after free on rmap->obj array entries scsi: target: iscsit: Free cmds before session free netdev: Enforce index cap in netdev_get_tx_queue net: Catch invalid index in XPS mapping net: pasemi: Fix return type of pasemi_mac_start_tx() bnxt: avoid overflow in bnxt_get_nvram_directory() scsi: lpfc: Correct used_rpi count when devloss tmo fires with no recovery scsi: lpfc: Prevent lpfc_debugfs_lockstat_write() buffer overflow ext2: Check block size validity during mount wifi: brcmfmac: cfg80211: Pass the PMK in binary instead of hex wifi: brcmfmac: pcie: Provide a buffer of random bytes to the device bpf: Annotate data races in bpf_local_storage wifi: ath: Silence memcpy run-time false positive warning media: mediatek: vcodec: Fix potential array out-of-bounds in decoder queue_setup media: Prefer designated initializers over memset for subdev pad ops drm/amdgpu: Fix sdma v4 sw fini error drm/amd: Fix an out of bounds error in BIOS parser drm/amd/display: Correct DML calculation to follow HW SPEC ACPI: video: Remove desktops without backlight DMI quirks irqchip/gicv3: Workaround for NVIDIA erratum T241-FABRIC-4 arm64: dts: qcom: sdm845-polaris: Drop inexistent properties ACPICA: ACPICA: check null return of ACPI_ALLOCATE_ZEROED in acpi_db_display_objects ACPICA: Avoid undefined behavior: applying zero offset to null pointer drm/msm/dp: Clean up handling of DP AUX interrupts drm/tegra: Avoid potential 32-bit integer overflow remoteproc: stm32_rproc: Add mutex protection for workqueue drm/amd/display: fixed dcn30+ underflow issue ACPI: EC: Fix oops when removing custom query handlers firmware: arm_sdei: Fix sleep from invalid context BUG arm64: dts: imx8mq-librem5: Remove dis_u3_susphy_quirk from usb_dwc3_0 memstick: r592: Fix UAF bug in r592_remove due to race condition drm/rockchip: dw_hdmi: cleanup drm encoder during unbind ACPI: processor: Check for null return of devm_kzalloc() in fch_misc_setup() media: pvrusb2: VIDEO_PVRUSB2 depends on DVB_CORE to use dvb_* symbols media: pci: tw68: Fix null-ptr-deref bug in buf prepare and finish media: cx23885: Fix a null-ptr-deref bug in buffer_prepare() and buffer_finish() arm64: dts: qcom: msm8996: Add missing DWC3 quirks remoteproc: imx_dsp_rproc: Add custom memory copy implementation for i.MX DSP Cores regmap: cache: Return error in cache sync operations for REGCACHE_NONE drm/amd/display: Use DC_LOG_DC in the trasform pixel function drm/amd/display: Enable HostVM based on rIOMMU active platform/x86: x86-android-tablets: Add Acer Iconia One 7 B1-750 data drm/amd/display: Correct DML calculation to align HW formula drm/amd/display: populate subvp cmd info only for the top pipe drm/displayid: add displayid_get_header() and check bounds better fs: hfsplus: remove WARN_ON() from hfsplus_cat_{read,write}_inode() open: return EINVAL for O_DIRECTORY | O_CREAT rcu: Protect rcu_print_task_exp_stall() ->exp_tasks access selftests: cgroup: Add 'malloc' failures checks in test_memcontrol refscale: Move shutdown from wait_event() to wait_event_idle() ext4: allow ext4_get_group_info() to fail ext4: allow to find by goal if EXT4_MB_HINT_GOAL_ONLY is set ext4: don't clear SB_RDONLY when remounting r/w until quota is re-enabled ext4: reflect error codes from ext4_multi_mount_protect() to its callers fbdev: arcfb: Fix error handling in arcfb_probe() drm/i915: taint kernel when force probing unsupported devices drm/i915: Expand force_probe to block probe of devices as well. drm/i915/dp: prevent potential div-by-zero drm/i915: Fix NULL ptr deref by checking new_crtc_state drm/i915/guc: Don't capture Gen8 regs on Xe devices af_unix: Fix data races around sk->sk_shutdown. af_unix: Fix a data race of sk->sk_receive_queue->qlen. net: datagram: fix data-races in datagram_poll() net: mscc: ocelot: fix stat counter register values ipvlan:Fix out-of-bounds caused by unclear skb->cb gve: Remove the code of clearing PBA bit tcp: add annotations around sk->sk_shutdown accesses net: add vlan_get_protocol_and_depth() helper net: deal with most data-races in sk_wait_event() net: annotate sk->sk_err write from do_recvmmsg() netlink: annotate accesses to nlk->cb_running bonding: fix send_peer_notif overflow netfilter: conntrack: fix possible bug_on with enable_hooks=1 netfilter: nf_tables: always release netdev hooks from notifier net: phy: bcm7xx: Correct read from expansion register net: Fix load-tearing on sk->sk_stamp in sock_recv_cmsgs(). net: stmmac: Initialize MAC_ONEUS_TIC_COUNTER register linux/dim: Do nothing if no time delta between samples tick/broadcast: Make broadcast device replacement work correctly scsi: ufs: core: Fix I/O hang that occurs when BKOPS fails in W-LUN suspend net: mdio: mvusb: Fix an error handling path in mvusb_mdio_probe() net: skb_partial_csum_set() fix against transport header magic value ARM: 9296/1: HP Jornada 7XX: fix kernel-doc warnings drm/mipi-dsi: Set the fwnode for mipi_dsi_device drm/fbdev-generic: prohibit potential out-of-bounds access Linux 6.1.29 drm/amd/display: Fix hang when skipping modeset spi: fsl-cpm: Use 16 bit mode for large transfers with even size spi: fsl-spi: Re-organise transfer bits_per_word adaptation x86: fix clear_user_rep_good() exception handling annotation x86/amd_nb: Add PCI ID for family 19h model 78h f2fs: inode: fix to do sanity check on extent cache correctly f2fs: fix to do sanity check on extent cache correctly drm/dsc: fix DP_DSC_MAX_BPP_DELTA_* macro values ext4: fix invalid free tracking in ext4_xattr_move_to_block() ext4: remove a BUG_ON in ext4_mb_release_group_pa() ext4: fix lockdep warning when enabling MMP ext4: bail out of ext4_xattr_ibody_get() fails for any reason ext4: add bounds checking in get_max_inline_xattr_value_size() ext4: fix deadlock when converting an inline directory in nojournal mode ext4: improve error handling from ext4_dirhash() ext4: improve error recovery code paths in __ext4_remount() ext4: check iomap type only if ext4_iomap_begin() does not fail ext4: fix data races when using cached status extents ext4: avoid a potential slab-out-of-bounds in ext4_group_desc_csum ext4: fix WARNING in mb_find_extent locking/rwsem: Add __always_inline annotation to __down_read_common() and inlined callers drm/dsc: fix drm_edp_dsc_sink_output_bpp() DPCD high byte usage drm: Add missing DP DSC extended capability definitions. ksmbd: fix racy issue from smb2 close and logoff with multichannel ksmbd: block asynchronous requests when making a delay on session setup ksmbd: destroy expired sessions ksmbd: fix racy issue from session setup and logoff ksmbd: Implements sess->ksmbd_chann_list as xarray drm/amd/display: Change default Z8 watermark values drm/amd/display: Update Z8 SR exit/enter latencies drm/amd/display: Update Z8 watermarks for DCN314 ASoC: codecs: wcd938x: fix accessing regmap on unattached devices ASoC: codecs: constify static sdw_slave_ops struct ASoC: rt1318: Add RT1318 SDCA vendor-specific driver drm/amd/display: Lowering min Z8 residency time drm/amd/display: Update minimum stutter residency for DCN314 Z8 drm/amd/display: Add minimum Z8 residency debug option drm/amd/display: Fix Z8 support configurations drm/amd/display: Add debug option to skip PSR CRTC disable drm/amd/display: Add Z8 allow states to z-state support list drm/amd/display: Refactor eDP PSR codes drm/i915: Check pipe source size when using skl+ scalers drm/i915/mtl: update scaler source and destination limits for MTL wifi: rtw88: rtw8821c: Fix rfe_option field width irqchip/loongson-eiointc: Fix registration of syscore_ops irqchip/loongson-eiointc: Fix incorrect use of acpi_get_vec_parent irqchip/loongarch: Adjust acpi_cascade_irqdomain_init() and sub-routines drm/msm: fix missing wq allocation error handling drm/msm: Hangcheck progress detection drm/msm/adreno: Simplify read64/write64 helpers f2fs: factor out victim_entry usage from general rb_tree use f2fs: allocate the extent_cache by default f2fs: refactor extent_cache to support for read and more f2fs: remove unnecessary __init_extent_tree f2fs: move internal functions into extent_cache.c f2fs: specify extent cache for read explicitly drm/msm/adreno: adreno_gpu: Use suspend() instead of idle() on load error fs/ntfs3: Refactoring of various minor issues HID: wacom: insert timestamp to packed Bluetooth (BT) events HID: wacom: Set a default resolution for older tablets drm/amd: Use `amdgpu_ucode_*` helpers for MES drm/amd: Add a new helper for loading/validating microcode drm/amd: Load MES microcode during early_init drm/amdgpu: remove deprecated MES version vars drm/amd/pm: avoid potential UBSAN issue on legacy asics drm/amdgpu: disable sdma ecc irq only when sdma RAS is enabled in suspend drm/amd/pm: parse pp_handle under appropriate conditions drm/amd/display: Enforce 60us prefetch for 200Mhz DCFCLK modes drm/amdgpu: Fix vram recover doesn't work after whole GPU reset (v2) drm/amdgpu: change gfx 11.0.4 external_id range drm/amdgpu/jpeg: Remove harvest checking for JPEG3 drm/amdgpu/gfx: disable gfx9 cp_ecc_error_irq only when enabling legacy gfx ras drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v11_0_hw_fini drm/amdgpu: fix an amdgpu_irq_put() issue in gmc_v9_0_hw_fini() drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v10_0_hw_fini drm/amd/display: fix flickering caused by S/G mode drm/amd/display: filter out invalid bits in pipe_fuses drm/amd/display: Fix 4to1 MPC black screen with DPP RCO drm/amd/display: Add NULL plane_state check for cursor disable logic drm/panel: otm8009a: Set backlight parent to panel device irqchip/loongson-eiointc: Fix returned value on parsing MADT irqchip/loongson-pch-pic: Fix pch_pic_acpi_init calling f2fs: fix potential corruption when moving a directory f2fs: fix null pointer panic in tracepoint in __replace_atomic_write_block drm/i915/dsi: Use unconditional msleep() instead of intel_dsi_msleep() drm/msm: fix workqueue leak on bind errors drm/msm: fix vram leak on bind errors drm/msm: fix drm device leak on bind errors drm/msm: fix NULL-deref on irq uninstall drm/msm: fix NULL-deref on snapshot tear down drm/i915/color: Fix typo for Plane CSC indexes drm/bridge: lt8912b: Fix DSI Video Mode drm/msm/adreno: fix runtime PM imbalance at gpu load ARM: dts: aspeed: romed8hm3: Fix GPIO polarity of system-fault LED ARM: dts: s5pv210: correct MIPI CSIS clock name ARM: dts: exynos: fix WM8960 clock name in Itop Elite ARM: dts: aspeed: asrock: Correct firmware flash SPI clocks sysctl: clarify register_sysctl_init() base directory order remoteproc: rcar_rproc: Call of_node_put() on iteration error remoteproc: imx_rproc: Call of_node_put() on iteration error remoteproc: imx_dsp_rproc: Call of_node_put() on iteration error remoteproc: st: Call of_node_put() on iteration error remoteproc: stm32: Call of_node_put() on iteration error proc_sysctl: enhance documentation proc_sysctl: update docs for __register_sysctl_table() sh: nmi_debug: fix return value of __setup handler sh: init: use OF_EARLY_FLATTREE for early init sh: mcount.S: fix build error when PRINTK is not enabled sh: math-emu: fix macro redefined warning SMB3: force unmount was failing to close deferred close files smb3: fix problem remounting a share after shutdown inotify: Avoid reporting event with invalid wd platform/x86: thinkpad_acpi: Add profile force ability platform/x86: touchscreen_dmi: Add info for the Dexp Ursus KX210i platform/x86: thinkpad_acpi: Fix platform profiles on T490 platform/x86: touchscreen_dmi: Add upside-down quirk for GDIX1002 ts on the Juno Tablet platform/x86/intel-uncore-freq: Return error on write frequency cifs: release leases for deferred close handles when freezing cifs: fix pcchunk length type in smb2_copychunk_range btrfs: zoned: fix full zone super block reading on ZNS btrfs: zoned: zone finish data relocation BG with last IO btrfs: fix space cache inconsistency after error loading it from disk btrfs: print-tree: parent bytenr must be aligned to sector size btrfs: make clear_cache mount option to rebuild FST without disabling it btrfs: zero the buffer before marking it dirty in btrfs_redirty_list_add btrfs: don't free qgroup space unless specified btrfs: fix encoded write i_size corruption with no-holes btrfs: fix assertion of exclop condition when starting balance btrfs: properly reject clear_cache and v1 cache for block-group-tree btrfs: zoned: fix wrong use of bitops API in btrfs_ensure_empty_zones btrfs: fix btrfs_prev_leaf() to not return the same key twice x86/retbleed: Fix return thunk alignment RISC-V: fix taking the text_mutex twice during sifive errata patching RISC-V: take text_mutex during alternative patching perf stat: Separate bperf from bpf_profiler perf tracepoint: Fix memory leak in is_valid_tracepoint() perf symbols: Fix return incorrect build_id size in elf_read_build_id() crypto: engine - fix crypto_queue backlog handling crypto: engine - Use crypto_request_complete crypto: api - Add scaffolding to change completion function signature crypto: sun8i-ss - Fix a test in sun8i_ss_setup_ivs() perf cs-etm: Fix timeless decode mode detection perf map: Delete two variable initialisations before null pointer checks in sort__sym_from_cmp() perf pmu: zfree() expects a pointer to a pointer to zero it after freeing its contents perf vendor events power9: Remove UTF-8 characters from JSON files perf ftrace: Make system wide the default target for latency subcommand perf tests record_offcpu.sh: Fix redirection of stderr to stdin perf vendor events s390: Remove UTF-8 characters from JSON file perf scripts intel-pt-events.py: Fix IPC output for Python 2 perf record: Fix "read LOST count failed" msg with sample read net: enetc: check the index of the SFI rather than the handle virtio_net: suppress cpu stall when free_unused_bufs ice: block LAN in case of VF to VF offload net: dsa: mt7530: fix network connectivity with multiple CPU ports net: dsa: mt7530: split-off common parts from mt7531_setup net: dsa: mt7530: fix corrupt frames using trgmii on 40 MHz XTAL MT7621 KVM: s390: fix race in gmap_make_secure() ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` drm/amdgpu: add a missing lock for AMDGPU_SCHED af_packet: Don't send zero-byte data in packet_sendmsg_spkt(). ionic: catch failure from devlink_alloc ethtool: Fix uninitialized number of lanes ionic: remove noise from ethtool rxnfc error msg octeontx2-vf: Detach LF resources on probe cleanup octeontx2-pf: Disable packet I/O for graceful exit octeontx2-af: Skip PFs if not enabled octeontx2-af: Fix issues with NPC field hash extract octeontx2-af: Update/Fix NPC field hash extract feature octeontx2-pf: Add additional checks while configuring ucast/bcast/mcast rules octeontx2-af: Allow mkex profile without DMAC and add L2M/L2B header extraction support octeontx2-pf: Increase the size of dmac filter flows octeontx2-af: Fix depth of cam and mem table. octeontx2-af: Fix start and end bit for scan config octeontx2-af: Secure APR table update with the lock selftests: netfilter: fix libmnl pkg-config usage drm/i915/mtl: Add the missing CPU transcoder mask in intel_device_info riscv: compat_syscall_table: Fixup compile warning rxrpc: Fix hard call timeout units sfc: Fix module EEPROM reporting for QSFP modules r8152: move setting r8153b_rx_agg_chg_indicate() r8152: fix the poor throughput for 2.5G devices r8152: fix flow control issue of RTL8156A net/sched: act_mirred: Add carrier check i2c: tegra: Fix PEC support for SMBUS block read RISC-V: mm: Enable huge page support to kernel_page_present() function watchdog: dw_wdt: Fix the error handling path of dw_wdt_drv_probe() block: Skip destroyed blkg when restart in blkg_destroy_all() writeback: fix call of incorrect macro net: dsa: mv88e6xxx: add mv88e6321 rsvd2cpu net: ipv6: fix skb hash for some RST packets selftests: srv6: make srv6_end_dt46_l3vpn_test more robust sit: update dev->needed_headroom in ipip6_tunnel_bind_dev() net/sched: cls_api: remove block_cb from driver_list before freeing tcp: fix skb_copy_ubufs() vs BIG TCP net/ncsi: clear Tx enable mode when handling a Config required AEN octeontx2-pf: mcs: Do not reset PN while updating secy octeontx2-pf: mcs: Fix shared counters logic octeontx2-pf: mcs: Clear stats before freeing resource octeontx2-pf: mcs: Match macsec ethertype along with DMAC octeontx2-pf: mcs: Fix NULL pointer dereferences octeontx2-af: mcs: Fix MCS block interrupt octeontx2-af: mcs: Config parser to skip 8B header octeontx2-af: mcs: Write TCAM_DATA and TCAM_MASK registers at once octeonxt2-af: mcs: Fix per port bypass config ixgbe: Fix panic during XDP_TX with > 64 CPUs drm/amd/display: Update bounding box values for DCN321 drm/amd/display: Do not clear GPINT register when releasing DMUB from reset drm/amd/display: Reset OUTBOX0 r/w pointer on DMUB reset drm/amd/display: Fixes for dcn32_clk_mgr implementation drm/amd/display: Return error code on DSC atomic check failure drm/amd/display: Add missing WA and MCLK validation drm/amd/display: Remove FPU guards from the DML folder scsi: qedi: Fix use after free bug in qedi_remove() ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working KVM: x86/mmu: Refresh CR0.WP prior to checking for emulated permission faults KVM: VMX: Make CR0.WP a guest owned bit KVM: x86: Make use of kvm_read_cr*_bits() when testing bits KVM: x86: Do not unload MMU roots when only toggling CR0.WP with TDP enabled KVM: x86/mmu: Avoid indirect call for get_cr3 drm/amd/display: Ext displays with dock can't recognized after resume fs/ntfs3: Fix null-ptr-deref on inode->i_op in ntfs_lookup() mtd: spi-nor: spansion: Enable JFFS2 write buffer for Infineon s25hx SEMPER flash mailbox: zynqmp: Fix counts of child nodes mailbox: zynq: Switch to flexible array to simplify code soc: qcom: llcc: Do not create EDAC platform device on SDM845 qcom: llcc/edac: Support polling mode for ECC handling mtd: spi-nor: spansion: Enable JFFS2 write buffer for Infineon s28hx SEMPER flash mtd: spi-nor: Add a RWW flag mtd: spi-nor: add SFDP fixups for Quad Page Program mtd: spi-nor: spansion: Remove NO_SFDP_FLAGS from s28hs512t info KVM: x86/pmu: Disallow legacy LBRs if architectural LBRs are available KVM: x86: Track supported PERF_CAPABILITIES in kvm_caps perf/x86/core: Zero @lbr instead of returning -1 in x86_perf_get_lbr() stub crypto: ccp - Clear PSP interrupt status register before calling handler drm/vmwgfx: Fix Legacy Display Unit atomic drm support drm/vmwgfx: Remove explicit and broken vblank handling usb: dwc3: gadget: Execute gadget stop after halting the controller USB: dwc3: gadget: drop dead hibernation code Linux 6.1.28 netfilter: nf_tables: deactivate anonymous set from preparation phase scsi: libsas: Grab the ATA port lock in sas_ata_device_link_abort() debugobject: Ensure pool refill (again) drm/amd/display (gcc13): fix enum mismatch i40e: use int for i40e_status i40e: Remove string printing for i40e_status i40e: Remove unused i40e status codes sfc (gcc13): synchronize ef100_enqueue_skb()'s return type block/blk-iocost (gcc13): keep large values in a new enum perf intel-pt: Fix CYC timestamps after standalone CBR perf auxtrace: Fix address filter entire kernel size wifi: ath11k: synchronize ath11k_mac_he_gi_to_nl80211_he_gi()'s return type bonding (gcc13): synchronize bond_{a,t}lb_xmit() types thunderbolt: Use correct type in tb_port_is_clx_enabled() prototype cifs: protect session status check in smb2_reconnect() cifs: fix potential use-after-free bugs in TCP_Server_Info::hostname blk-iocost: avoid 64-bit division in ioc_timer_fn dm: don't lock fs when the map is NULL in process of resume dm ioctl: fix nested locking in table_clear() to remove deadlock concern dm flakey: fix a crash with invalid table line dm integrity: call kmem_cache_destroy() in dm_integrity_init() error path dm clone: call kmem_cache_destroy() in dm_clone_init() error path dm verity: fix error handling for check_at_most_once on FEC vhost_vdpa: fix unmap process in no-batch mode mm/mempolicy: correctly update prev when policy is equal on mbind ia64: fix an addr to taddr in huge_pte_offset() s390/dasd: fix hanging blockdevice after request requeue btrfs: scrub: reject unsupported scrub flags scripts/gdb: fix lx-timerlist for Python3 clk: rockchip: rk3399: allow clk_cifout to force clk_cifout_src to reparent clk: microchip: fix potential UAF in auxdev release callback wifi: rtw89: fix potential race condition between napi_init and napi_enable wifi: rtl8xxxu: RTL8192EU always needs full init mailbox: zynqmp: Fix typo in IPI documentation kcsan: Avoid READ_ONCE() in read_instrumented_memory() mailbox: zynqmp: Fix IPI isr handling mtd: spi-nor: core: Update flash's current address mode when changing address mode mtd: core: fix error path for nvmem provider mtd: core: fix nvmem error reporting mtd: core: provide unique name for nvmem device, take two kasan: hw_tags: avoid invalid virt_to_page() md/raid5: Improve performance for sequential IO md/raid10: fix null-ptr-deref in raid10_sync_request drbd: correctly submit flush bio on barrier mm: do not reclaim private data from pinned page nilfs2: fix infinite loop in nilfs_mdt_get_block() nilfs2: do not write dirty data after degenerating to read-only ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 ALSA: hda/realtek: Add quirk for ThinkPad P1 Gen 6 ALSA: usb-audio: Add quirk for Pioneer DDJ-800 parisc: Ensure page alignment in flush functions parisc: Fix argument pointer in real64_call_asm() afs: Avoid endless loop if file is larger than expected afs: Fix getattr to report server i_size on dirs, not local size afs: Fix updating of i_size with dv jump from server PM: hibernate: Do not get block device exclusively in test_resume mode PM: hibernate: Turn snapshot_test into global variable ACPI: PM: Do not turn of unused power resources on the Toshiba Click Mini hte: tegra-194: Fix off by one in tegra_hte_map_to_line_id() hte: tegra: fix 'struct of_device_id' build error mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE mfd: ocelot-spi: Fix unsupported bulk read mfd: tqmx86: Correct board names for TQMxE39x mfd: tqmx86: Specify IO port register range more precisely mfd: tqmx86: Do not access I2C_DETECT register through io_base thermal/drivers/mediatek: Use devm_of_iomap to avoid resource leak in mtk_thermal_probe pinctrl-bcm2835.c: fix race condition when setting gpio dir dmaengine: at_xdmac: do not enable all cyclic channels dmaengine: dw-edma: Fix to enable to issue dma request on DMA processing dmaengine: dw-edma: Fix to change for continuous transfer dma: gpi: remove spurious unlock in gpi_ch_init phy: ti: j721e-wiz: Fix unreachable code in wiz_mode_select() phy: tegra: xusb: Add missing tegra_xusb_port_unregister for usb2_port and ulpi_port soundwire: intel: don't save hw_params for use in prepare soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime pwm: mtk-disp: Configure double buffering before reading in .get_state() pwm: mtk-disp: Disable shadow registers before setting backlight values leds: tca6507: Fix error handling of using fwnode_property_read_string dmaengine: mv_xor_v2: Fix an error code. pinctrl: ralink: reintroduce ralink,rt2880-pinmux compatible string leds: TI_LMU_COMMON: select REGMAP instead of depending on it pinctrl: renesas: r8a779g0: Fix ERROROUTC function names pinctrl: renesas: r8a779g0: Fix Group 6/7 pin functions pinctrl: renesas: r8a779g0: Fix Group 4/5 pin functions pinctrl: renesas: r8a779f0: Fix tsn1_avtp_pps pin group pinctrl: renesas: r8a779a0: Remove incorrect AVB[01] pinmux configuration ext4: fix use-after-free read in ext4_find_extent for bigalloc + inline ext4: fix i_disksize exceeding i_size problem in paritally written case SMB3: Close deferred file handles in case of handle lease break SMB3: Add missing locks to protect deferred close file list timekeeping: Fix references to nonexistent ktime_get_fast_ns() openrisc: Properly store r31 to pt_regs on unhandled exceptions clocksource/drivers/davinci: Fix memory leak in davinci_timer_register when init fails RDMA/mlx5: Use correct device num_ports when modify DC SUNRPC: remove the maximum number of retries in call_bind_status RDMA/mlx5: Fix flow counter query via DEVX RDMA/mlx5: Check pcie_relaxed_ordering_enabled() in UMR swiotlb: fix debugfs reporting of reserved memory pools swiotlb: relocate PageHighMem test away from rmem_swiotlb_setup Input: raspberrypi-ts - fix refcount leak in rpi_ts_probe clk: qcom: dispcc-qcm2290: Remove inexistent DSI1PHY clk clk: qcom: dispcc-qcm2290: get rid of test clock clk: qcom: gcc-sm8350: fix PCIe PIPE clocks handling clk: qcom: lpassaudiocc-sc7280: Add required gdsc power domain clks in lpass_cc_sc7280_desc clk: qcom: lpasscc-sc7280: Skip qdsp6ss clock registration iommu/amd: Set page size bitmap during V2 domain allocation NFSv4.1: Always send a RECLAIM_COMPLETE after establishing lease clk: imx: imx8ulp: Fix XBAR_DIVBUS and AD_SLOW clock parents clk: imx: fracn-gppll: disable hardware select control clk: imx: fracn-gppll: fix the rate table IB/hfi1: Fix bugs with non-PAGE_SIZE-end multi-iovec user SDMA requests IB/hfi1: Fix SDMA mmu_rb_node not being evicted in LRU order RDMA/srpt: Add a check for valid 'mad_agent' pointer RDMA/cm: Trace icm_send_rej event before the cm state is reset power: supply: rk817: Fix low SOC bugs clk: qcom: gcc-sm6115: Mark RCGs shared where applicable RDMA/siw: Remove namespace check from siw_netdev_event() clk: add missing of_node_put() in "assigned-clocks" property parsing power: supply: generic-adc-battery: fix unit scaling iommu/mediatek: Set dma_mask for PGTABLE_PA_35_EN fs/ntfs3: Fix slab-out-of-bounds read in hdr_delete_de() fs/ntfs3: Fix OOB read in indx_insert_into_buffer fs/ntfs3: Add check for kmemdup fs/ntfs3: Fix memory leak if ntfs_read_mft failed RDMA/erdma: Use fixed hardware page size rtc: k3: handle errors while enabling wake irq rtc: meson-vrtc: Use ktime_get_real_ts64() to get the current time RDMA/mlx4: Prevent shift wrapping in set_user_sq_size() rtc: omap: include header for omap_rtc_power_off_program prototype workqueue: Fix hung time report of worker pools clk: qcom: gcc-qcm2290: Fix up gcc_sdcc2_apps_clk_src RDMA/rdmavt: Delete unnecessary NULL check clk: mediatek: mt8135: Properly use CLK_IS_CRITICAL flag clk: mediatek: mt7622: Properly use CLK_IS_CRITICAL flag clk: mediatek: Consistently use GATE_MTK() macro clk: mediatek: mt2712: Add error handling to clk_mt2712_apmixed_probe() RDMA/siw: Fix potential page_array out of range access IB/hifi1: add a null check of kzalloc_node in hfi1_ipoib_txreq_init clk: at91: clk-sam9x60-pll: fix return value check tracing/user_events: Ensure write index cannot be negative sched/rt: Fix bad task migration for rt tasks riscv: Fix ptdump when KASAN is enabled Revert "objtool: Support addition to set CFA base" perf/core: Fix hardlockup failure caused by perf throttle sched/fair: Fix inaccurate tally of ttwu_move_affine powerpc/rtas: use memmove for potentially overlapping buffer copy macintosh: via-pmu-led: requires ATA to be set powerpc/sysdev/tsi108: fix resource printk format warnings powerpc/wii: fix resource printk format warnings powerpc/mpc512x: fix resource printk format warning powerpc/perf: Properly detect mpc7450 family macintosh/windfarm_smu_sat: Add missing of_node_put() selftests/powerpc/pmu: Fix sample field check in the mmcra_thresh_marked_sample_test fbdev: mmp: Fix deferred clk handling in mmphw_probe() virtio_ring: don't update event idx on get_buf spmi: Add a check for remove callback when removing a SPMI driver staging: rtl8192e: Fix W_DISABLE# does not work after stop/start spi: cadence-quadspi: use macro DEFINE_SIMPLE_DEV_PM_OPS serial: 8250: Add missing wakeup event reporting tty: serial: fsl_lpuart: adjust buffer length to the intended size firmware: stratix10-svc: Fix an NULL vs IS_ERR() bug in probe usb: mtu3: fix kernel panic at qmu transfer done irq handler usb: chipidea: fix missing goto in `ci_hdrc_probe` usb: gadget: tegra-xudc: Fix crash in vbus_draw sh: sq: Fix incorrect element size for allocating bitmap buffer uapi/linux/const.h: prefer ISO-friendly __typeof__ scripts/gdb: raise error with reduced debugging information i2c: xiic: xiic_xfer(): Fix runtime PM leak on error path i2c: cadence: cdns_i2c_master_xfer(): Fix runtime PM leak on error path spi: cadence-quadspi: fix suspend-resume implementations drm/panel: novatek-nt35950: Only unregister DSI1 if it exists PCI/PM: Extend D3hot delay for NVIDIA HDA controllers ASoC: fsl_mqs: move of_node_put() to the correct location drm/panel: novatek-nt35950: Improve error handling coresight: etm_pmu: Set the module field cacheinfo: Check sib_leaf in cache_leaves_are_shared() HID: amd_sfh: Handle "no sensors" enabled for SFH1.1 HID: amd_sfh: Increase sensor command timeout for SFH1.1 HID: amd_sfh: Correct the stop all command HID: amd_sfh: Add support for shutdown operation HID: amd_sfh: Fix illuminance value HID: amd_sfh: Correct the sensor enable and disable command HID: amd_sfh: Correct the structure fields scripts/gdb: bail early if there are no generic PD scripts/gdb: bail early if there are no clocks ia64: salinfo: placate defined-but-not-used warning ia64: mm/contig: fix section mismatch warning/error PCI/EDR: Clear Device Status after EDR error recovery of: Fix modalias string generation vmci_host: fix a race condition in vmci_host_poll() causing GPF spi: fsl-spi: Fix CPM/QE mode Litte Endian interconnect: qcom: rpm: drop bogus pm domain attach spi: qup: Don't skip cleanup in remove's error path linux/vt_buffer.h: allow either builtin or modular for macros ASoC: es8316: Handle optional IRQ assignment PCI: imx6: Install the fault handler only on compatible match ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE usb: gadget: udc: renesas_usb3: Fix use after free bug in renesas_usb3_remove due to race condition spi: imx: Don't skip cleanup in remove's error path spi: atmel-quadspi: Free resources even if runtime resume failed in .remove() spi: atmel-quadspi: Don't leak clk enable count in pm resume serial: 8250_bcm7271: Fix arbitration handling iio: light: max44009: add missing OF device matching fpga: bridge: fix kernel-doc parameter description serial: stm32: Re-assert RTS/DE GPIO in RS485 mode only if more data are transmitted usb: dwc3: gadget: Change condition for processing suspend event usb: host: xhci-rcar: remove leftover quirk handling pstore: Revert pmsg_lock back to a normal mutex drivers: staging: rtl8723bs: Fix locking in rtw_scan_timeout_handler() drivers: staging: rtl8723bs: Fix locking in _rtw_join_timeout_handler() ASoC: cs35l41: Only disable internal boost ipmi: ASPEED_BT_IPMI_BMC: select REGMAP_MMIO instead of depending on it tcp/udp: Fix memleaks of sk and zerocopy skbs with TX timestamp. net: amd: Fix link leak when verifying config failed netlink: Use copy_to_user() for optval in netlink_getsockopt(). Revert "Bluetooth: btsdio: fix use after free bug in btsdio_remove due to unfinished work" ipv4: Fix potential uninit variable access bug in __ip_make_skb() net/sched: sch_fq: fix integer overflow of "credit" net: dpaa: Fix uninitialized variable in dpaa_stop() netfilter: nf_tables: don't write table validation state without mutex bpf: Don't EFAULT for getsockopt with optval=NULL bpf: Fix race between btf_put and btf_idr walk. net: stmmac:fix system hang when setting up tag_8021q VLAN for DSA ports net/mlx5e: Nullify table pointer when failing to create net/mlx5: Use recovery timeout on sync reset flow Revert "net/mlx5: Remove "recovery" arg from mlx5_load_one() function" net/mlx5: Suspend auxiliary devices only in case of PCI device suspend net/mlx5: Remove "recovery" arg from mlx5_load_one() function net/mlx5e: Fix error flow in representor failing to add vport rx rule net/mlx5: E-switch, Don't destroy indirect table in split rule net/mlx5: E-switch, Create per vport table based on devlink encap mode net/mlx5e: Don't clone flow post action attributes second time ixgbe: Enable setting RSS table to default values ixgbe: Allow flow hash to be set via ethtool wifi: iwlwifi: fw: fix memory leak in debugfs netfilter: conntrack: fix wrong ct->timeout value netfilter: conntrack: restore IPS_CONFIRMED out of nf_conntrack_hash_check_insert() wifi: iwlwifi: mvm: check firmware response size wifi: mt76: connac: fix txd multicast rate setting wifi: mt76: mt7921e: stop chip reset worker in unregister hook wifi: mt76: mt7921e: improve reliability of dma reset wifi: mt76: mt7921: fix missing unwind goto in `mt7921u_probe` mt76: mt7921: fix kernel panic by accessing unallocated eeprom.data wifi: mt76: fix 6GHz high channel not be scanned wifi: mt76: mt7921e: fix probe timeout after reboot wifi: mt76: add flexible polling wait-interval support wifi: mt76: handle failure of vzalloc in mt7615_coredump_work wifi: mt76: mt7915: expose device tree match table wifi: iwlwifi: make the loop for card preparation effective io_uring/rsrc: use nospec'ed indexes jdb2: Don't refuse invalidation of already invalidated buffers wifi: iwlwifi: fw: move memset before early return wifi: iwlwifi: mvm: initialize seq variable wifi: iwlwifi: yoyo: Fix possible division by zero wifi: iwlwifi: yoyo: skip dump correctly on hw error wifi: iwlwifi: mvm: don't drop unencrypted MCAST frames md/raid10: don't call bio_start_io_acct twice for bio which experienced read error md/raid10: fix memleak of md thread md/raid10: fix memleak for 'conf->bio_split' md/raid10: fix leak of 'r10bio->remaining' for recovery md/raid10: fix task hung in raid10d f2fs: fix to check return value of inc_valid_block_count() f2fs: fix to check return value of f2fs_do_truncate_blocks() bpf, sockmap: Revert buggy deadlock fix in the sockhash and sockmap wifi: iwlwifi: mvm: don't set CHECKSUM_COMPLETE for unsupported protocols wifi: iwlwifi: trans: don't trigger d3 interrupt twice wifi: iwlwifi: debug: fix crash in __iwl_err() blk-mq: don't plug for head insertions in blk_execute_rq_nowait selftests/bpf: Fix leaked bpf_link in get_stackid_cannot_attach selftests/bpf: Use read_perf_max_sample_freq() in perf_event_stackmap nvme-fcloop: fix "inconsistent {IN-HARDIRQ-W} -> {HARDIRQ-ON-W} usage" nvme: fix async event trace event nvmet: fix I/O Command Set specific Identify Controller nvmet: fix Identify Active Namespace ID list handling nvmet: fix Identify Controller handling nvmet: fix Identify Namespace handling nvmet: fix error handling in nvmet_execute_identify_cns_cs_ns() bpf, sockmap: fix deadlocks in the sockhash and sockmap wifi: ath11k: fix writing to unintended memory region net: ethernet: stmmac: dwmac-rk: fix optional phy regulator handling net: ethernet: stmmac: dwmac-rk: rework optional clock handling scsi: lpfc: Fix ioremap issues in lpfc_sli4_pci_mem_setup() bpf/btf: Fix is_int_ptr() wifi: iwlwifi: fix duplicate entry in iwl_dev_info_table f2fs: fix to avoid use-after-free for cached IPU bio xsk: Fix unaligned descriptor validation crypto: drbg - Only fail when jent is unavailable in FIPS mode bpftool: Fix bug for long instructions in program CFG dumps selftests/bpf: Wait for receive in cg_storage_multi test selftests: xsk: Deflakify STATS_RX_DROPPED test selftests: xsk: Disable IPv6 on VETH1 selftests: xsk: Use correct UMEM size in testapp_invalid_desc net: qrtr: correct types of trace event parameters f2fs: fix iostat lock protection wifi: rt2x00: Fix memory leak when handling surveys scsi: hisi_sas: Handle NCQ error when IPTT is valid scsi: libsas: Add sas_ata_device_link_abort() wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_reg() wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_rfreg() crypto: sa2ul - Select CRYPTO_DES crypto: caam - Clear some memory in instantiate_rng f2fs: fix scheduling while atomic in decompression path f2fs: compress: fix to call f2fs_wait_on_page_writeback() in f2fs_write_raw_pages() f2fs: apply zone capacity to all zone type f2fs: fix uninitialized skipped_gc_rwsem f2fs: handle dqget error in f2fs_transfer_project_quota() net: sunhme: Fix uninitialized return code scsi: megaraid: Fix mega_cmd_done() CMDID_INT_CMDS scsi: target: iscsit: Fix TAS handling during conn cleanup scsi: target: Fix multiple LUN_RESET handling scsi: target: iscsit: Stop/wait on cmds during conn close scsi: target: iscsit: isert: Alloc per conn cmd counter scsi: target: Pass in cmd counter to use during cmd setup scsi: target: Move cmd counter allocation scsi: target: Move sess cmd counter to new struct scsi: target: core: Change the way target_xcopy_do_work() sets restiction on max I/O bpf: Fix __reg_bound_offset 64->32 var_off subreg propagation netfilter: keep conntrack reference until IPsecv6 policy checks are done net: dsa: qca8k: remove assignment of an_enabled in pcs_get_state() libbpf: Fix ld_imm64 copy logic for ksym in light skeleton. net/packet: convert po->auxdata to an atomic flag net/packet: convert po->origdev to an atomic flag net/packet: annotate accesses to po->xmit vlan: partially enable SIOCSHWTSTAMP in container net: pcs: xpcs: remove double-read of link state when using AN bpf: Remove misleading spec_v1 check on var-offset stack read selftests/bpf: Fix a fd leak in an error path in network_helpers.c wifi: ath11k: fix deinitialization of firmware resources scm: fix MSG_CTRUNC setting condition for SO_PASSSEC crypto: qat - fix concurrency issue when device state changes bpf: fix precision propagation verbose logging bpf: take into account liveness when propagating precision wifi: rtw88: mac: Return the original error from rtw_mac_power_switch() wifi: rtw88: mac: Return the original error from rtw_pwr_seq_parser() tools: bpftool: Remove invalid \' json escape wifi: ath6kl: reduce WARN to dev_dbg() in callback wifi: brcmfmac: support CQM RSSI notification with older firmware wifi: ath11k: fix SAC bug on peer addition with sta band migration wifi: ath5k: fix an off by one check in ath5k_eeprom_read_freq_list() wifi: ath5k: Use platform_get_irq() to get the interrupt wifi: ath11k: Use platform_get_irq() to get the interrupt wifi: ath9k: hif_usb: fix memory leak of remain_skbs wifi: ath6kl: minor fix for allocation size platform/chrome: cros_typec_switch: Add missing fwnode_handle_put() hwmon: (pmbus/fsp-3y) Fix functionality bitmask in FSP-3Y YM-2151E rpmsg: glink: Propagate TX failures in intentless mode as well cpufreq: use correct unit when verify cur freq ACPI: bus: Ensure that notify handlers are not running after removal tick/common: Align tick period with the HZ tick. drm/i915: Make intel_get_crtc_new_encoder() less oopsy debugobject: Prevent init race with static objects media: mediatek: vcodec: add remove function for decoder platform driver media: mediatek: vcodec: fix decoder disable pm crash perf/arm-cmn: Fix port detection for CMN-700 arm64: kgdb: Set PSTATE.SS to 1 to re-enable single-step x86/ioapic: Don't return 0 from arch_dynirq_lower_bound() regulator: stm32-pwr: fix of_iomap leak media: venus: dec: Fix capture formats enumeration order media: venus: dec: Fix handling of the start cmd media: rc: gpio-ir-recv: Fix support for wake-up drm/amd/display: Fix potential null dereference media: hi846: Fix memleak in hi846_init_controls() media: v4l: async: Return async sub-devices to subnotifier list media: rcar_fdp1: Fix refcount leak in probe and remove function media: platform: mtk-mdp3: fix potential frame size overflow in mdp_try_fmt_mplane() media: saa7134: fix use after free bug in saa7134_finidev due to race condition media: dm1105: Fix use after free bug in dm1105_remove due to race condition platform/x86/amd: pmc: Move out of BIOS SMN pair for STB init platform/x86/amd: pmc: Utilize SMN index 0 for driver probe platform/x86/amd: pmc: Move idlemask check into `amd_pmc_idlemask_read` platform/x86/amd: pmc: Don't dump data after resume from s0i3 on picasso platform/x86/amd: pmc: Hide SMU version and program attributes for Picasso platform/x86/amd: pmc: Don't try to read SMU version on Picasso platform/x86/amd/pmf: Move out of BIOS SMN pair for driver probe media: rkvdec: fix use after free bug in rkvdec_remove media: cedrus: fix use after free bug in cedrus_remove due to race condition media: mediatek: vcodec: change lat thread decode error condition media: mediatek: vcodec: making sure queue_work successfully media: mediatek: vcodec: remove unused lat_buf media: mediatek: vcodec: add core decode done event media: mediatek: vcodec: move lat_buf to the top of core list media: mediatek: vcodec: using each instance lat_buf count replace core ready list media: mediatek: vcodec: add params to record lat and core lat_buf count media: mediatek: vcodec: Force capture queue format to MM21 media: mediatek: vcodec: Make MM21 the default capture format media: mediatek: vcodec: Use 4K frame size when supported by stateful decoder arm64: dts: sc7280: Rename qspi data12 as data23 arm64: dts: sc7180: Rename qspi data12 as data23 arm64: dts: qcom: msm8994-angler: removed clash with smem_region arm64: dts: qcom: msm8994-angler: Fix cont_splash_mem mapping x86/apic: Fix atomic update of offset in reserve_eilvt_offset() regulator: core: Avoid lockdep reports when resolving supplies regulator: core: Consistently set mutex_owner when using ww_mutex_lock_slow() drm/ttm/pool: Fix ttm_pool_alloc error path drm/ttm: optimize pool allocations a bit v2 arm64: dts: qcom: apq8096-db820c: drop unit address from PMI8994 regulator arm64: dts: qcom: msm8994-msft-lumia-octagon: drop unit address from PMI8994 regulator arm64: dts: qcom: msm8994-kitakami: drop unit address from PMI8994 regulator arm64: dts: qcom: sc7180-trogdor-pazquel: correct trackpad supply arm64: dts: qcom: sc7180-trogdor-lazor: correct trackpad supply arm64: dts: qcom: sc7280-herobrine-villager: correct trackpad supply gpu: host1x: Fix memory leak of device names gpu: host1x: Fix potential double free if IOMMU is disabled soc: renesas: renesas-soc: Release 'chipid' from ioremap() soc: bcm: brcmstb: biuctrl: fix of_iomap leak mailbox: mpfs: switch to txdone_poll drm/mediatek: dp: Change the aux retries times when receiving AUX_DEFER drm/lima/lima_drv: Add missing unwind goto in lima_pdev_probe() ACPI: VIOT: Initialize the correct IOMMU fwspec arm64: dts: mediatek: mt8192-asurada: Fix voltage constraint for Vgpu cpufreq: qcom-cpufreq-hw: Revert adding cpufreq qos cpufreq: mediatek: Raise proc and sram max voltage for MT7622/7623 cpufreq: mediatek: raise proc/sram max voltage for MT8516 cpufreq: mediatek: fix KP caused by handler usage after regulator_put/clk_put cpufreq: mediatek: fix passing zero to 'PTR_ERR' arm64: dts: apple: t8103: Disable unused PCIe ports ARM: dts: stm32: fix spi1 pin assignment on stm32mp15 perf/arm-cmn: Move overlapping wp_combine field firmware: arm_scmi: Fix xfers allocation on Rx channel ARM: dts: gta04: fix excess dma channel usage drm: rcar-du: Fix a NULL vs IS_ERR() bug arm64: dts: qcom: sm8450: fix pcie1 gpios properties name mmc: sdhci-of-esdhc: fix quirk to ignore command inhibit for data ACPI: processor: Fix evaluating _PDC method when running as Xen dom0 drm/amd/display/dc/dce60/Makefile: Fix previous attempt to silence known override-init warnings arm64: dts: qcom: sm8350-microsoft-surface: fix USB dual-role mode property virt/coco/sev-guest: Double-buffer messages drm: msm: adreno: Disable preemption on Adreno 510 drm/msm/adreno: drop bogus pm_runtime_set_active() arm64: dts: ti: k3-am62a7: Correct L2 cache size to 512KB arm64: dts: ti: k3-am625: Correct L2 cache size to 512KB media: max9286: Free control handler drm/bridge: adv7533: Fix adv7533_mode_valid for adv7533 and adv7535 firmware: qcom_scm: Clear download bit during reboot media: av7110: prevent underflow in write_ts_to_decoder() media: amphion: decoder implement display delay enable media: platform: mtk-mdp3: Add missing check and free for ida_alloc media: bdisp: Add missing check for create_workqueue x86/MCE/AMD: Use an u64 for bank_map ARM: dts: qcom: sdx55: Fix the unit address of PCIe EP node ARM: dts: qcom: ipq8064: Fix the PCI I/O port range ARM: dts: qcom: ipq4019: Fix the PCI I/O port range arm64: dts: qcom: sm8450: Fix the PCI I/O port range arm64: dts: qcom: sm8150: Fix the PCI I/O port range arm64: dts: qcom: sm8250: Fix the PCI I/O port range arm64: dts: qcom: msm8996: Fix the PCI I/O port range arm64: dts: qcom: ipq6018: Fix the PCI I/O port range arm64: dts: qcom: ipq8074: Fix the PCI I/O port range arm64: dts: qcom: sc7280: Fix the PCI I/O port range arm64: dts: qcom: msm8998: Fix the PCI I/O port range arm64: dts: qcom: sdm845: Fix the PCI I/O port range arm64: dts: qcom: sdm845: correct dynamic power coefficients arm64: dts: qcom: sc7280: fix EUD port properties arm64: dts: qcom: msm8998: Fix stm-stimulus-base reg name arm64: dts: broadcom: bcmbca: bcm4908: fix procmon nodename arm64: dts: broadcom: bcmbca: bcm4908: fix LED nodenames arm64: dts: broadcom: bcmbca: bcm4908: fix NAND interrupt name arm64: dts: ti: k3-j721e-main: Remove ti,strobe-sel property arm64: dts: ti: k3-am62a7-sk: Fix DDR size to full 4GB arm64: dts: ti: k3-am62-main: Fix GPIO numbers in DT regulator: core: Shorten off-on-delay-us for always-on/boot-on by time since booted ARM: dts: qcom-apq8064: Fix opp table child name EDAC/skx: Fix overflows on the DRAM row address mapping arrays drm/msm/disp/dpu: check for crtc enable rather than crtc active to release shared resources drm/mediatek: dp: Only trigger DRM HPD events if bridge is attached arm64: dts: renesas: r9a07g043: Update IRQ numbers for SSI channels arm64: dts: renesas: r9a07g043: Introduce SOC_PERIPHERAL_IRQ() macro to specify interrupt property arm64: dts: renesas: r9a07g054: Update IRQ numbers for SSI channels arm64: dts: renesas: r9a07g044: Update IRQ numbers for SSI channels arm64: dts: renesas: r8a774c0: Remove bogus voltages from OPP table arm64: dts: renesas: r8a77990: Remove bogus voltages from OPP table soc: ti: pm33xx: Fix refcount leak in am33xx_pm_probe tools/x86/kcpuid: Fix avx512bw and avx512lvl fields in Fn00000007 drm/amdgpu: register a vga_switcheroo client for MacBooks with apple-gmux drm/probe-helper: Cancel previous job before starting new one drm/vgem: add missing mutex_destroy drm/i915/dg2: Drop one PCI ID drm/rockchip: Drop unbalanced obj unref erofs: fix potential overflow calculating xattr_isize erofs: initialize packed inode after root inode is assigned erofs: stop parsing non-compact HEAD index if clusterofs is invalid tpm, tpm_tis: Claim locality when interrupts are reenabled on resume tpm, tpm: Implement usage counter for locality tpm, tpm_tis: Claim locality before writing interrupt registers tpm, tpm_tis: Disable interrupts if tpm_tis_probe_irq() failed tpm, tpm_tis: Claim locality before writing TPM_INT_ENABLE register tpm, tpm_tis: Do not skip reset of original interrupt vector selinux: ensure av_permissions.h is built when needed selinux: fix Makefile dependencies of flask.h selftests/resctrl: Check for return value after write_schemata() selftests/resctrl: Allow ->setup() to return errors selftests/resctrl: Move ->setup() call outside of test specific branches selftests/resctrl: Return NULL if malloc_and_init_memory() did not alloc mem rcu: Fix missing TICK_DEP_MASK_RCU_EXP dependency check kunit: fix bug in the order of lines in debugfs logs kunit: improve KTAP compliance of KUnit test output ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks bus: mhi: host: Range check CHDBOFF and ERDBOFF bus: mhi: host: Use mhi_tryset_pm_state() for setting fw error state bus: mhi: host: Remove duplicate ee check for syserr cxl/hdm: Fail upon detecting 0-sized decoders xfs: don't consider future format versions valid ceph: fix potential use-after-free bug when trimming caps ubifs: Fix memory leak in do_rename ubifs: Free memory for tmpfile name ubi: Fix return value overwrite issue in try_write_vid_and_data() ubifs: Fix memleak when insert_old_idx() failed Revert "ubifs: dirty_cow_znode: Fix memleak in error handling path" RISC-V: Align SBI probe implementation with spec iommu/amd: Fix "Guest Virtual APIC Table Root Pointer" configuration in IRTE drm/amd/pm: re-enable the gfx imu when smu resume swsmu/amdgpu_smu: Fix the wrong if-condition tracing: Fix permissions for the buffer_percent file riscv: mm: remove redundant parameter of create_fdt_early_page_table i2c: omap: Fix standard mode false ACK readings ACPI: video: Remove acpi_backlight=video quirk for Lenovo ThinkPad W530 ksmbd: fix deadlock in ksmbd_find_crypto_ctx() ksmbd: not allow guest user on multichannel ksmbd: fix memleak in session setup ksmbd: fix NULL pointer dereference in smb2_get_info_filesystem() ksmbd: call rcu_barrier() in ksmbd_server_exit() ksmbd: fix racy issue under cocurrent smb2 tree disconnect KVM: RISC-V: Retry fault if vma_lookup() results become invalid drm/amd/display: fix a divided-by-zero error drm/amd/display: fix PSR-SU/DSC interoperability support drm/amd/display: limit timing for single dimm memory drm/amd/display: Remove stutter only configurations relayfs: fix out-of-bounds access in relay_file_read KVM: arm64: vgic: Don't acquire its_lock before config_lock KVM: arm64: Use config_lock to protect vgic state KVM: arm64: Use config_lock to protect data ordered against KVM_RUN KVM: arm64: Avoid lock inversion when setting the VM register width KVM: arm64: Avoid vcpu->mutex v. kvm->lock inversion in CPU_ON KVM: nVMX: Emulate NOPs in L2, and PAUSE if it's not intercepted reiserfs: Add security prefix to xattr name in reiserfs_security_write() rcu: Avoid stack overflow due to __rcu_irq_enter_check_tick() being kprobe-ed crypto: ccp - Don't initialize CCP for PSP 0x1649 crypto: arm64/aes-neonbs - fix crash with CFI enabled crypto: safexcel - Cleanup ring IRQ workqueues on load failure crypto: api - Demote BUG_ON() in crypto_unregister_alg() to a WARN_ON() ring-buffer: Sync IRQ works before buffer destruction ring-buffer: Ensure proper resetting of atomic variables in ring_buffer_reset_online_cpus pinctrl: qcom: lpass-lpi: set output value before enabling output soundwire: qcom: correct setting ignore bit on v1.5.1 pwm: meson: Fix g12a ao clk81 name pwm: meson: Fix axg ao mux parents wifi: mt76: add missing locking to protect against concurrent rx/status calls kheaders: Use array declaration instead of char iio: addac: stx104: Fix race condition for stx104_write_raw() iio: addac: stx104: Fix race condition when converting analog-to-digital ipmi: fix SSIF not responding under certain cond. ipmi:ssif: Add send_retries increment MIPS: fw: Allow firmware to pass a empty env fs: fix sysctls.c built tick/nohz: Fix cpu_is_hotpluggable() by checking with nohz subsystem serial: max310x: fix IO data corruption in batched operations serial: 8250: Fix serial8250_tx_empty() race with DMA Tx serial: fix TIOCSRS485 locking xhci: fix debugfs register accesses while suspended tty: Prevent writing chars during tcsetattr TCSADRAIN/FLUSH staging: iio: resolver: ads1210: fix config mode blk-crypto: make blk_crypto_evict_key() more robust blk-crypto: make blk_crypto_evict_key() return void blk-mq: release crypto keyslot before reporting I/O complete blk-crypto: Add a missing include directive blk-crypto: move internal only declarations to blk-crypto-internal.h blk-crypto: add a blk_crypto_config_supported_natively helper blk-crypto: don't use struct request_queue for public interfaces blk-stat: fix QUEUE_FLAG_STATS clear media: ov8856: Do not check for for module version posix-cpu-timers: Implement the missing timer_wait_running callback tpm: Add !tpm_amd_is_rng_defective() to the hwrng_unregister() call site hwmon: (adt7475) Use device_property APIs when configuring polarity hwmon: (k10temp) Check range scale when CUR_TEMP register is read-write USB: dwc3: fix runtime pm imbalance on unbind USB: dwc3: fix runtime pm imbalance on probe errors usb: dwc3: gadget: Stall and restart EP0 if host is unresponsive usb: gadget: udc: core: Prevent redundant calls to pullup usb: gadget: udc: core: Invoke usb_gadget_connect only when started IMA: allow/fix UML builds phy: qcom-qmp-pcie: sc8180x PCIe PHY has 2 lanes PCI: qcom: Fix the incorrect register usage in v2.7.0 config PCI: pciehp: Fix AB-BA deadlock between reset_lock and device_lock PCI: kirin: Select REGMAP_MMIO powerpc/boot: Fix boot wrapper code generation with CONFIG_POWER10_CPU arm64: Stash shadow stack pointer in the task struct on interrupt arm64: Always load shadow stack pointer directly from the task struct ASoC: amd: ps: update the acp clock source. ASoC: amd: fix ACP version typo mistake wifi: mt76: mt7921e: Set memory space enable in PCI_COMMAND if unset wireguard: timers: cast enum limits members to int in prints x86/cpu: Add model number for Intel Arrow Lake processor asm-generic/io.h: suppress endianness warnings for readq() and writeq() tracing: Error if a trace event has an array for a __field() wifi: ath11k: reduce the MHI timeout to 20s platform/x86: thinkpad_acpi: Add missing T14s Gen1 type to s2idle quirk list net: sfp: add quirk enabling 2500Base-x for HG MXPD-483II scsi: mpi3mr: Handle soft reset in progress fault code (0xF002) selftests mount: Fix mount_setattr_test builds failed net: wwan: t7xx: do not compile with -Werror ASoC: da7213.c: add missing pm_runtime_disable() ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 iio: adc: palmas_gpadc: fix NULL dereference on rmmod ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) x86/hyperv: Block root partition functionality in a Confidential VM ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 Linux 6.1.27 riscv: No need to relocate the dtb as it lies in the fixmap region riscv: Do not set initial_boot_params to the linear address of the dtb riscv: Move early dtb mapping into the fixmap region driver core: Don't require dynamic_debug for initcall_debug probe timing USB: serial: option: add UNISOC vendor and TOZED LT70C product btrfs: fix uninitialized variable warnings bluetooth: Perform careful capability checks in hci_sock_ioctl() gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xNU drm/fb-helper: set x/yres_virtual in drm_fb_helper_check_var wifi: brcmfmac: slab-out-of-bounds read in brcmf_get_assoc_ies() mptcp: fix accept vs worker race mptcp: stops worker on unaccepted sockets at listener close mm/mempolicy: fix use-after-free of VMA iterator KVM: arm64: Retry fault if vma_lookup() results become invalid phy: phy-brcm-usb: Utilize platform_get_irq_byname_optional() um: Only disable SSE on clang to work around old GCC bugs Linux 6.1.26 ASN.1: Fix check for strdup() success ASoC: fsl_sai: Fix pins setting for i.MX8QM platform ASoC: fsl_asrc_dma: fix potential null-ptr-deref ASoC: SOF: pm: Tear down pipelines only if DSP was active mm/page_alloc: fix potential deadlock on zonelist_update_seq seqlock fpga: bridge: properly initialize bridge device before populating children iio: adc: at91-sama5d2_adc: fix an error code in at91_adc_allocate_trigger() Input: pegasus-notetaker - check pipe type when probing gcc: disable '-Warray-bounds' for gcc-13 too sctp: Call inet6_destroy_sock() via sk->sk_destruct(). dccp: Call inet6_destroy_sock() via sk->sk_destruct(). inet6: Remove inet6_destroy_sock() in sk->sk_prot->destroy(). purgatory: fix disabling debug info fuse: always revalidate rename target dentry MIPS: Define RUNTIME_DISCARD_EXIT in LD script KVM: arm64: Fix buffer overflow in kvm_arm_set_fw_reg() KVM: arm64: Make vcpu flag updates non-preemptible sched/fair: Fixes for capacity inversion detection sched/fair: Consider capacity inversion in util_fits_cpu() sched/fair: Detect capacity inversion mm/mmap: regression fix for unmapped_area{_topdown} mm: page_alloc: skip regions with hugetlbfs pages when allocating 1G pages mm: kmsan: handle alloc failures in kmsan_vmap_pages_range_noflush() mm: kmsan: handle alloc failures in kmsan_ioremap_page_range() mm/huge_memory.c: warn with pr_warn_ratelimited instead of VM_WARN_ON_ONCE_FOLIO mm/khugepaged: check again on anon uffd-wp during isolation mm/userfaultfd: fix uffd-wp handling for THP migration entries drm/rockchip: vop2: Use regcache_sync() to fix suspend/resume drm/rockchip: vop2: fix suspend/resume drm/amd/display: set dcn315 lb bpp to 48 drm/amdgpu: Fix desktop freezed after gpu-reset drm/i915: Fix fast wake AUX sync len mmc: sdhci_am654: Set HIGH_SPEED_ENA for SDR12 and SDR25 writeback, cgroup: fix null-ptr-deref write in bdi_split_work_to_wbs kernel/sys.c: fix and improve control flow in __sys_setres[ug]id() memstick: fix memory leak if card device is never registered tools/mm/page_owner_sort.c: fix TGID output when cull=tg is used nilfs2: initialize unused bytes in segment summary blocks maple_tree: fix a potential memory leak, OOB access, or other unpredictable bug maple_tree: fix mas_empty_area() search maple_tree: make maple state reusable after mas_empty_area_rev() LoongArch: Mark 3 symbol exports as non-GPL LoongArch: Fix probing of the CRC32 feature rust: kernel: Mark rust_fmt_argument as extern "C" btrfs: get the next extent map during fiemap/lseek more efficiently ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook iio: light: tsl2772: fix reading proximity-diodes from device tree iio: dac: ad5755: Add missing fwnode_handle_put() drm/amdgpu/vcn: Disable indirect SRAM on Vangogh broken BIOSes Revert "userfaultfd: don't fail on unrecognized features" mtd: spi-nor: fix memory leak when using debugfs_lookup() platform/x86: asus-nb-wmi: Add quirk_asus_tablet_mode to other ROG Flow X13 models platform/x86: gigabyte-wmi: add support for X570S AORUS ELITE xen/netback: use same error messages for same errors nvme-tcp: fix a possible UAF when failing to allocate an io queue drm: test: Fix 32-bit issue in drm_buddy_test drm: buddy_allocator: Fix buddy allocator init on 32-bit systems s390/ptrace: fix PTRACE_GET_LAST_BREAK error handling platform/x86: gigabyte-wmi: add support for B650 AORUS ELITE AX net: dsa: b53: mmap: add phy ops scsi: core: Improve scsi_vpd_inquiry() checks scsi: megaraid_sas: Fix fw_crash_buffer_show() selftests: sigaltstack: fix -Wuninitialized platform/x86 (gigabyte-wmi): Add support for A320M-S2H V2 platform/x86/intel: vsec: Fix a memory leak in intel_vsec_add_aux f2fs: Fix f2fs_truncate_partial_nodes ftrace event net: bridge: switchdev: don't notify FDB entries with "master dynamic" e1000e: Disable TSO on i219-LM card to increase speed bpf: Fix incorrect verifier pruning due to missing register precision taints spi: spi-rockchip: Fix missing unwind goto in rockchip_sfc_probe() mlxsw: pci: Fix possible crash during initialization net: rpl: fix rpl header size calculation bonding: Fix memory leak when changing bond type to Ethernet mlxfw: fix null-ptr-deref in mlxfw_mfa2_tlv_next() bnxt_en: Do not initialize PTP on older P3/P4 chips netfilter: nf_tables: tighten netlink attribute requirements for catch-all elements netfilter: nf_tables: validate catch-all set elements i40e: fix i40e_setup_misc_vector() error handling i40e: fix accessing vsi->active_filters without holding lock netfilter: nf_tables: fix ifdef to also consider nf_tables=m sfc: Fix use-after-free due to selftest_work virtio_net: bugfix overflow inside xdp_linearize_page() net: sched: sch_qfq: prevent slab-out-of-bounds in qfq_activate_agg regulator: fan53555: Fix wrong TCS_SLEW_MASK regulator: fan53555: Explicitly include bits header rust: str: fix requierments->requirements typo netfilter: nf_tables: Modify nla_memdup's flag to GFP_KERNEL_ACCOUNT netfilter: br_netfilter: fix recent physdev match breakage arm64: dts: imx8mp-verdin: correct off-on-delay arm64: dts: imx8mm-verdin: correct off-on-delay arm64: dts: imx8mm-evk: correct pmic clock source arm64: dts: qcom: sc8280xp-pmics: fix pon compatible and registers arm64: dts: meson-g12-common: specify full DMC range arm64: dts: qcom: ipq8074-hk10: enable QMP device, not the PHY node arm64: dts: qcom: hk10: use "okay" instead of "ok" arm64: dts: qcom: ipq8074-hk01: enable QMP device, not the PHY node arm64: dts: rockchip: Lower sd speed on rk3566-soquartz ARM: dts: rockchip: fix a typo error for rk3288 spdif node Linux 6.1.25 cgroup/cpuset: Add cpuset_can_fork() and cpuset_cancel_fork() methods cgroup/cpuset: Make cpuset_fork() handle CLONE_INTO_CGROUP properly cgroup/cpuset: Skip spread flags update on v2 nvme-pci: add NVME_QUIRK_BOGUS_NID for T-FORCE Z330 SSD nvme-pci: mark Lexar NM760 as IGNORE_DEV_SUBNQN cifs: fix negotiate context parsing i2c: ocores: generate stop condition after timeout in polling mode x86/rtc: Remove __init for runtime functions sched/fair: Fix imbalance overflow powerpc/papr_scm: Update the NUMA distance table for the target node i2c: mchp-pci1xxxx: Update Timing registers ubi: Fix deadlock caused by recursively holding work_sem ubi: Fix failure attaching when vid_hdr offset equals to (sub)page size mptcp: stricter state check in mptcp_worker mptcp: use mptcp_schedule_work instead of open-coding it drm/amd/pm: correct SMU13.0.7 max shader clock reporting drm/amd/pm: correct SMU13.0.7 pstate profiling clock settings cgroup/cpuset: Wake up cpuset_attach_wq tasks in cpuset_cancel_attach() cgroup/cpuset: Fix partition root's cpuset.cpus update bug cgroup: fix display of forceidle time at root x86/PCI: Add quirk for AMD XHCI controller that loses MSI-X state in D3hot scsi: ses: Handle enclosure with just a primary component gracefully net: phy: nxp-c45-tja11xx: fix unsigned long multiplication overflow net: phy: nxp-c45-tja11xx: add remove callback net: sfp: initialize sfp->i2c_block_size at sfp allocation HID: intel-ish-hid: Fix kernel panic during warm reset riscv: add icache flush for nommu sigreturn trampoline ksmbd: avoid out of bounds access in decode_preauth_ctxt() maple_tree: fix write memory barrier of nodes once dead for RCU mode tracing: Have tracing_snapshot_instance_cond() write errors to the appropriate instance tracing: Add trace_array_puts() to write into instance KVM: SVM: Flush Hyper-V TLB when required x86/hyperv: KVM: Rename "hv_enlightenments" to "hv_vmcb_enlightenments" KVM: SVM: Add a proper field for Hyper-V VMCB enlightenments KVM: selftests: Move "struct hv_enlightenments" to x86_64/svm.h x86/hyperv: Move VMCB enlightenment definitions to hyperv-tlfs.h ACPI: resource: Add Medion S17413 to IRQ override quirk drm/amdgpu/gfx: set cg flags to enter/exit safe mode drm/amdgpu: Force signal hw_fences that are embedded in non-sched jobs drm/amdgpu: add mes resume when do gfx post soft reset wifi: iwlwifi: mvm: protect TXQ list manipulation wifi: iwlwifi: mvm: fix mvmtxq->stopped handling nvme: send Identify with CNS 06h only to I/O controllers asymmetric_keys: log on fatal failures in PE/pkcs7 verify_pefile: relax wrapper length check hwmon: (xgene) Fix ioremap and memremap leak hwmon: (peci/cputemp) Fix miscalculated DTS for SKX drm: panel-orientation-quirks: Add quirk for Lenovo Yoga Book X90F ACPI: video: Add backlight=native DMI quirk for Acer Aspire 3830TG block: ublk_drv: mark device as LIVE before adding disk efi: sysfb_efi: Add quirk for Lenovo Yoga Book X91F/L i2c: hisi: Avoid redundant interrupts i2c: imx-lpi2c: clean rx/tx buffers upon new message wifi: mwifiex: mark OF related data as maybe unused selftests/bpf: Fix progs/find_vma_fail1.c build error. power: supply: axp288_fuel_gauge: Added check for negative values power: supply: cros_usbpd: reclassify "default case!" as debug power: supply: rk817: Fix unsigned comparison with less than zero ARM: dts: qcom: apq8026-lg-lenok: add missing reserved memory ARM: 9290/1: uaccess: Fix KASAN false-positives libbpf: Fix single-line struct definition output in btf_dump skbuff: Fix a race between coalescing and releasing SKBs net: macb: fix a memory corruption in extended buffer descriptor mode udp6: fix potential access to stale information selftests: openvswitch: adjust datapath NL message declaration RDMA/core: Fix GID entry ref leak when create_ah fails sctp: fix a potential overflow in sctp_ifwdtsn_skip net: qrtr: Fix an uninit variable access bug in qrtr_tx_resume() cgroup,freezer: hold cpu_hotplug_lock before freezer_mutex net: wwan: iosm: Fix error handling path in ipc_pcie_probe() qlcnic: check pci_reset_function result drm/armada: Fix a potential double free in an error handling path Bluetooth: Set ISO Data Path on broadcast sink Bluetooth: SCO: Fix possible circular locking dependency sco_sock_getsockopt Bluetooth: Fix printing errors if LE Connection times out Bluetooth: hci_conn: Fix not cleaning up on LE Connection failure net: openvswitch: fix race on port output iavf: remove active_cvlans and active_svlans bitmaps iavf: refactor VLAN filter states bonding: fix ns validation on backup slaves tcp: restrict net.ipv4.tcp_app_win niu: Fix missing unwind goto in niu_alloc_channels() KVM: arm64: Advertise ID_AA64PFR0_EL1.CSV2/3 to protected VMs KVM: arm64: Initialise hypervisor copies of host symbols unconditionally bpf, arm64: Fixed a BTI error on returning to patched function 9p/xen : Fix use after free bug in xen_9pfs_front_remove due to race condition dmaengine: apple-admac: Fix 'current_tx' not getting freed dmaengine: apple-admac: Set src_addr_widths capability dmaengine: apple-admac: Handle 'global' interrupt flags LoongArch, bpf: Fix jit to skip speculation barrier opcode bpf: tcp: Use sock_gen_put instead of sock_put in bpf_iter_tcp RDMA/cma: Allow UD qp_type to join multicast only clk: rs9: Fix suspend/resume RDMA/erdma: Defer probing if netdevice can not be found RDMA/erdma: Inline mtt entries into WQE if supported RDMA/erdma: Update default EQ depth to 4096 and max_send_wr to 8192 IB/mlx5: Add support for 400G_8X lane speed RDMA/irdma: Add ipv4 check to irdma_find_listener() RDMA/irdma: Increase iWARP CM default rexmit count RDMA/irdma: Fix memory leak of PBLE objects RDMA/irdma: Do not generate SW completions for NOPs clk: sprd: set max_register according to mapping range drm/i915/dsi: fix DSS CTL register offsets for TGL+ fbcon: set_con2fb_map needs to set con2fb_map! fbcon: Fix error paths in set_con2fb_map KVM: arm64: PMU: Restore the guest's EL0 event counting after migration mtd: rawnand: stm32_fmc2: use timings.mode instead of checking tRC_min mtd: rawnand: stm32_fmc2: remove unsupported EDO mode mtd: rawnand: meson: fix bitmask for length in command word mtdblock: tolerate corrected bit-flips fbmem: Reject FB_ACTIVATE_KD_TEXT from userspace btrfs: fix fast csum implementation detection btrfs: restore the thread_pool= behavior in remount for the end I/O workqueues Bluetooth: hci_conn: Fix possible UAF Bluetooth: Free potentially unfreed SCO connection bluetooth: btbcm: Fix logic error in forming the board name. Bluetooth: Fix race condition in hidp_session_thread Bluetooth: L2CAP: Fix use-after-free in l2cap_disconnect_{req,rsp} ALSA: hda/hdmi: disable KAE for Intel DG2 ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards ALSA: emu10k1: don't create old pass-through playback device on Audigy ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 ALSA: hda: patch_realtek: add quirk for Asus N7601ZM ALSA: i2c/cs8427: fix iec958 mixer control deactivation ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard ALSA: emu10k1: fix capture interrupt handler unlinking drm/amd/display: Pass the right info to drm_dp_remove_payload Revert "pinctrl: amd: Disable and mask interrupts on resume" Linux 6.1.24 bpftool: Print newline before '}' for struct with padding only fields mm: enable maple tree RCU mode by default. maple_tree: add RCU lock checking to rcu callback functions maple_tree: add smp_rmb() to dead node detection maple_tree: remove extra smp_wmb() from mas_dead_leaves() maple_tree: fix freeing of nodes in rcu mode maple_tree: detect dead nodes in mas_start() maple_tree: refine ma_state init from mas_start() maple_tree: be more cautious about dead nodes maple_tree: fix mas_prev() and mas_find() state handling maple_tree: fix handle of invalidated state in mas_wr_store_setup() maple_tree: reduce user error potential maple_tree: fix potential rcu issue maple_tree: remove GFP_ZERO from kmem_cache_alloc() and kmem_cache_alloc_bulk() mm: take a page reference when removing device exclusive entries drm/i915: Split icl_color_commit_noarm() from skl_color_commit_noarm() drm/i915: Use _MMIO_PIPE() for SKL_BOTTOM_COLOR drm/bridge: lt9611: Fix PLL being unable to lock drm/i915/dp_mst: Fix payload removal during output disabling drm/display/dp_mst: Handle old/new payload states in drm_dp_remove_payload() drm/amdgpu: skip psp suspend for IMU enabled ASICs mode2 reset drm/amdgpu: for S0ix, skip SDMA 5.x+ suspend/resume drm/amd/display: Clear MST topology if it fails to resume blk-throttle: Fix that bps of child could exceed bps limited in parent maple_tree: fix a potential concurrency bug in RCU mode maple_tree: fix get wrong data_end in mtree_lookup_walk() mm/hugetlb: fix uffd wr-protection for CoW optimization path mm/swap: fix swap_info_struct race between swapoff and get_swap_pages() ring-buffer: Fix race while reader and writer are on the same page drm/i915: fix race condition UAF in i915_perf_add_config_ioctl drm/i915: Fix context runtime accounting drm/nouveau/disp: Support more modes by checking with lower bpc drm/panfrost: Fix the panfrost_mmu_map_fault_addr() error path ublk: read any SQE values upfront wifi: mt76: ignore key disable commands mm: vmalloc: avoid warn_alloc noise caused by fatal signal zsmalloc: document freeable stats tracing/synthetic: Make lastcmd_mutex static ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() tracing: Free error logs of tracing instances tracing/osnoise: Fix notify new tracing_max_latency tracing/timerlat: Notify new max thread latency tracing/synthetic: Fix races on freeing last_cmd net: stmmac: Add queue reset into stmmac_xdp_open() function ACPI: video: Add acpi_backlight=video quirk for Lenovo ThinkPad W530 ACPI: video: Add acpi_backlight=video quirk for Apple iMac14,1 and iMac14,2 ACPI: video: Make acpi_backlight=video work independent from GPU driver ACPI: video: Add auto_detect arg to __acpi_video_get_backlight_type() can: isotp: isotp_recvmsg(): use sock_recv_cmsgs() to get SOCK_RXQ_OVFL infos can: isotp: isotp_ops: fix poll() to not report false EPOLLOUT events can: isotp: fix race between isotp_sendsmg() and isotp_release() can: j1939: j1939_tp_tx_dat_new(): fix out-of-bounds memory access fs: drop peer group ids under namespace lock ftrace: Fix issue that 'direct->addr' not restored in modify_ftrace_direct() ftrace: Mark get_lock_parent_ip() __always_inline perf/core: Fix the same task check in perf_event_set_output block: don't set GD_NEED_PART_SCAN if scan partition failed block: ublk: make sure that block size is set correctly cifs: sanitize paths in cifs_update_super_prepath. nvme: fix discard support without oncs scsi: iscsi_tcp: Check that sock is valid before iscsi_set_param() scsi: qla2xxx: Fix memory leak in qla2x00_probe_one() io_uring: fix memory leak when removing provided buffers io_uring: fix return value when removing provided buffers iio: adc: ad7791: fix IRQ flags blk-mq: directly poll requests counter: 104-quad-8: Fix Synapse action reported for Index signals counter: 104-quad-8: Fix race condition between FLAG and CNTR reads coresight-etm4: Fix for() loop drvdata->nr_addr_cmp range bug coresight: etm4x: Do not access TRCIDR1 for identification mm: kfence: fix handling discontiguous page mm: kfence: fix PG_slab and memcg_data clearing KVM: nVMX: Do not report error code when synthesizing VM-Exit from Real Mode KVM: x86: Clear "has_error_code", not "error_code", for RM exception injection x86/ACPI/boot: Use FADT version to check support for online capable x86/acpi/boot: Correct acpi_is_processor_usable() check ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook ALSA: hda/realtek: Add quirk for Clevo X370SNW ksmbd: fix slab-out-of-bounds in init_smb2_rsp_hdr ksmbd: do not call kvmalloc() with __GFP_NORETRY | __GFP_NO_WARN serial: 8250: Prevent starting up DMA Rx on THRI interrupt dt-bindings: serial: renesas,scif: Fix 4th IRQ for 4-IRQ SCIFs nilfs2: fix sysfs interface lifetime nilfs2: fix potential UAF of struct nilfs_sc_info in nilfs_segctor_thread() tty: serial: fsl_lpuart: avoid checking for transfer complete when UARTCTRL_SBK is asserted in lpuart32_tx_empty tty: serial: sh-sci: Fix Rx on RZ/G2L SCI tty: serial: sh-sci: Fix transmit end interrupt handler iio: light: cm32181: Unregister second I2C client if present iio: buffer: make sure O_NONBLOCK is respected iio: buffer: correctly return bytes written in output buffers iio: dac: cio-dac: Fix max DAC write value check for 12-bit iio: adc: ti-ads7950: Set `can_sleep` flag for GPIO chip iio: adc: qcom-spmi-adc5: Fix the channel name iio: adis16480: select CONFIG_CRC32 drivers: iio: adc: ltc2497: fix LSB shift USB: serial: option: add Quectel RM500U-CN modem USB: serial: option: add Telit FE990 compositions usb: typec: altmodes/displayport: Fix configure initial pin assignment USB: serial: cp210x: add Silicon Labs IFS-USB-DATACABLE IDs usb: dwc3: pci: add support for the Intel Meteor Lake-S usb: cdnsp: Fixes error: uninitialized symbol 'len' xhci: also avoid the XHCI_ZERO_64B_REGS quirk with a passthrough iommu xhci: Free the command allocated for setting LPM if we return early usb: xhci: tegra: fix sleep in atomic call PCI/DOE: Fix memory leak with CONFIG_DEBUG_OBJECTS=y PCI/DOE: Silence WARN splat with CONFIG_DEBUG_OBJECTS=y cxl/pci: Handle excessive CDAT length cxl/pci: Handle truncated CDAT entries cxl/pci: Handle truncated CDAT header cxl/pci: Fix CDAT retrieval on big endian net: stmmac: check fwnode for phy device before scanning for phy arm64: compat: Work around uninitialized variable warning gve: Secure enough bytes in the first TX desc for all TCP pkts netlink: annotate lockless accesses to nlk->max_recvmsg_len ethtool: reset #lanes when lanes is omitted ping: Fix potentail NULL deref for /proc/net/icmp. raw: Fix NULL deref in raw_get_next(). raw: use net_hash_mix() in hash function ice: Reset FDIR counter in FDIR init stage ice: fix wrong fallback logic for FDIR NFSD: callback request does not use correct credential for AUTH_SYS sunrpc: only free unix grouplist after RCU settles net: stmmac: fix up RX flow hash indirection table when setting channels net: ethernet: ti: am65-cpsw: Fix mdio cleanup in probe gpio: davinci: Add irq chip flag to skip set wake gpio: davinci: Do not clear the bank intr enable bit in save_context platform/x86: think-lmi: Clean up display of current_value on Thinkstation platform/x86: think-lmi: Fix memory leaks when parsing ThinkStation WMI strings platform/x86: think-lmi: Fix memory leak when showing current settings ipv6: Fix an uninit variable access bug in __ip6_make_skb() net: qrtr: Do not do DEL_SERVER broadcast after DEL_CLIENT sctp: check send stream number after wait_for_sndbuf net: dsa: mv88e6xxx: Reset mv88e6393x force WD event bit net: don't let netpoll invoke NAPI if in xmit context ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement icmp: guard against too small mtu nfsd: call op_release, even when op_func returns an error NFSD: Avoid calling OPDESC() with ops->opnum == OP_ILLEGAL wifi: brcmfmac: Fix SDIO suspend/resume regression l2tp: generate correct module alias strings net: stmmac: remove redundant fixup to support fixed-link mode net: stmmac: check if MAC needs to attach to a PHY net: phylink: add phylink_expects_phy() method net: qrtr: Fix a refcount bug in qrtr_recvmsg() wifi: mac80211: fix invalid drv_sta_pre_rcu_remove calls for non-uploaded sta wifi: mac80211: fix the size calculation of ieee80211_ie_len_eht_cap() KVM: s390: pv: fix external interruption loop not always detected ASoC: codecs: lpass: fix the order or clks turn off during suspend pwm: meson: Explicitly set .polarity in .get_state() pwm: sprd: Explicitly set .polarity in .get_state() pwm: iqs620a: Explicitly set .polarity in .get_state() pwm: cros-ec: Explicitly set .polarity in .get_state() pwm: hibvt: Explicitly set .polarity in .get_state() pwm: Make .get_state() callback return an error code ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() Drivers: vmbus: Check for channel allocation before looking up relids gpio: GPIO_REGMAP: select REGMAP instead of depending on it KVM: arm64: PMU: Don't save PMCR_EL0.{C,P} for the vCPU KVM: arm64: PMU: Sanitise PMCR_EL0.LP on first vcpu run KVM: arm64: PMU: Distinguish between 64bit counter and 64bit overflow KVM: arm64: PMU: Align chained counter implementation with architecture pseudocode dm: fix improper splitting for abnormal bios dm: change "unsigned" to "unsigned int" dm integrity: Remove bi_sector that's only used by commented debug code dm cache: Add some documentation to dm-cache-background-tracker.h Linux 6.1.23 Revert "cpuidle, intel_idle: Fix CPUIDLE_FLAG_IRQ_ENABLE *again*" x86/PVH: avoid 32-bit build warning when obtaining VGA console info hsr: ratelimit only when errors are printed drm/amdkfd: Get prange->offset after svm_range_vram_node_new usb: ucsi: Fix ucsi->connector race libbpf: Fix btf_dump's packed struct determination selftests/bpf: Add few corner cases to test padding handling of btf_dump libbpf: Fix BTF-to-C converter's padding logic selftests/bpf: Test btf dump for struct with padding only fields net: dsa: mv88e6xxx: replace VTU violation prints with trace points net: dsa: mv88e6xxx: replace ATU violation prints with trace points net: dsa: mv88e6xxx: read FID when handling ATU violations KVM: arm64: Disable interrupts while walking userspace PTs KVM: arm64: PMU: Fix GET_ONE_REG for vPMC regs to return the current value drm/i915: Move CSC load back into .color_commit_arm() when PSR is enabled on skl/glk drm/i915: Disable DC states for all commits drm/i915/dpt: Treat the DPT BO as a framebuffer drm/i915/gem: Flush lmem contents after construction drm/amd/display: Take FEC Overhead into Timeslot Calculation drm/amd/display: Add DSC Support for Synaptics Cascaded MST Hub drm/amdgpu: allow more APUs to do mode2 reset when go to S4 drm/etnaviv: fix reference leak when mmaping imported buffer s390: reintroduce expoline dependence to scripts s390/uaccess: add missing earlyclobber annotations to __clear_user() dt-bindings: mtd: jedec,spi-nor: Document CPOL/CPHA support rcu: Fix rcu_torture_read ftrace event xtensa: fix KASAN report for show_stack ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z ALSA: hda/realtek: Add quirks for some Clevo laptops ALSA: usb-audio: Fix regression on detection of Roland VS-100 ALSA: hda/conexant: Partial revert of a quirk for Lenovo NFSv4: Fix hangs when recovering open state after a server reboot powerpc/64s: Fix __pte_needs_flush() false positive warning powerpc/pseries/vas: Ignore VAS update for DLPAR if copy/paste is not enabled powerpc: Don't try to copy PPR for task with NULL pt_regs platform/x86: ideapad-laptop: Stop sending KEY_TOUCHPAD_TOGGLE pinctrl: at91-pio4: fix domain name assignment pinctrl: amd: Disable and mask interrupts on resume modpost: Fix processing of CRCs on 32-bit build machines net: phy: dp83869: fix default value for tx-/rx-internal-delay xen/netback: don't do grant copy across page boundary can: j1939: prevent deadlock by moving j1939_sk_errqueue() dm: fix __send_duplicate_bios() to always allow for splitting IO zonefs: Always invalidate last cached page on append write vmxnet3: use gro callback when UPT is enabled io_uring: fix poll/netmsg alloc caches io_uring/rsrc: fix rogue rsrc node grabbing io_uring/poll: clear single/double poll flags on poll arming block/io_uring: pass in issue_flags for uring_cmd task_work handling zonefs: Do not propagate iomap_dio_rw() ENOTBLK error to user space btrfs: scan device in non-exclusive mode btrfs: fix race between quota disable and quota assign ioctls btrfs: fix deadlock when aborting transaction during relocation with scrub Input: goodix - add Lenovo Yoga Book X90F to nine_bytes_report DMI table Input: i8042 - add quirk for Fujitsu Lifebook A574/H cifs: fix DFS traversal oops without CONFIG_CIFS_DFS_UPCALL cifs: prevent infinite recursion in CIFSGetDFSRefer() Input: focaltech - use explicitly signed char type Input: alps - fix compatibility with -funsigned-char Input: i8042 - add TUXEDO devices to i8042 quirk tables for partial fix iommu/vt-d: Allow zero SAGAW if second-stage not supported Input: xpad - fix incorrectly applied patch for MAP_PROFILE_BUTTON pinctrl: ocelot: Fix alt mode for ocelot net: ethernet: mtk_eth_soc: add missing ppe cache flush when deleting a flow net: ethernet: mtk_eth_soc: fix flow block refcounting logic net: dsa: mv88e6xxx: Enable IGMP snooping on user ports only bnxt_en: Add missing 200G link speed reporting bnxt_en: Fix typo in PCI id to device description string mapping bnxt_en: Fix reporting of test result in ethtool selftest i40e: fix registers dump after run ethtool adapter self test net: ipa: compute DMA pool size properly ALSA: ymfpci: Fix BUG_ON in probe function ALSA: ymfpci: Create card with device-managed snd_devm_card_new() ice: fix invalid check for empty list in ice_sched_assoc_vsi_to_agg() ice: add profile conflict check for AVF FDIR ice: Fix ice_cfg_rdma_fltr() to only update relevant fields smsc911x: avoid PHY being resumed when interface is not up net: mvpp2: parser fix PPPoE net: mvpp2: parser fix QinQ net: mvpp2: classifier flow fix fragmentation flags loop: LOOP_CONFIGURE: send uevents for partitions ACPI: bus: Rework system-level device notification handling s390/vfio-ap: fix memory leak in vfio_ap device driver can: bcm: bcm_tx_setup(): fix KMSAN uninit-value in vfs_write platform/x86/intel/pmc: Alder Lake PCH slp_s0_residency fix drm/i915/tc: Fix the ICL PHY ownership check in TC-cold state net: stmmac: don't reject VLANs when IFF_PROMISC is set net/net_failover: fix txq exceeding warning regulator: Handle deferred clk r8169: fix RTL8168H and RTL8107E rx crc error net: dsa: microchip: ksz8: fix MDB configuration with non-zero VID net: dsa: microchip: ksz8863_smi: fix bulk access net: dsa: microchip: ksz8: ksz8_fdb_dump: avoid extracting ghost entry from empty dynamic MAC table. net: dsa: microchip: ksz8: fix offset for the timestamp filed net: dsa: microchip: ksz8: fix ksz8_fdb_dump() to extract all 1024 entries net: dsa: microchip: ksz8: fix ksz8_fdb_dump() ptp_qoriq: fix memory leak in probe() net: dsa: realtek: fix out-of-bounds access scsi: mpt3sas: Don't print sense pool info twice scsi: megaraid_sas: Fix crash after a double completion sfc: ef10: don't overwrite offload features at NIC reset SUNRPC: fix shutdown of NFS TCP client socket mtd: rawnand: meson: invalidate cache on polling ECC bit platform/surface: aggregator: Add missing fwnode_handle_put() platform/x86: think-lmi: Add possible_values for ThinkStation platform/x86: think-lmi: only display possible_values if available platform/x86: think-lmi: use correct possible_values delimiters platform/x86: think-lmi: add missing type attribute PCI: dwc: Fix PORT_LINK_CONTROL update when CDM check enabled ALSA: usb-audio: Fix recursive locking at XRUN during syncing mips: bmips: BCM6358: disable RAC flush for TP1 riscv/kvm: Fix VM hang in case of timer delta being zero. ca8210: Fix unsigned mac_len comparison with zero in ca8210_skb_tx() mtd: nand: mxic-ecc: Fix mxic_ecc_data_xfer_wait_for_completion() when irq is used mtd: rawnand: meson: initialize struct with zeroes btrfs: use temporary variable for space_info in btrfs_update_block_group btrfs: fix uninitialized variable warning in btrfs_update_block_group tracing: Fix wrong return in kprobe_event_gen_test.c tools/power turbostat: fix decoding of HWP_STATUS tools/power turbostat: Fix /dev/cpu_dma_latency warnings fbdev: au1200fb: Fix potential divide by zero fbdev: lxfb: Fix potential divide by zero fbdev: intelfb: Fix potential divide by zero fbdev: nvidia: Fix potential divide by zero net/mlx5e: Lower maximum allowed MTU in XSK to match XDP prerequisites drm/amdkfd: Fixed kfd_process cleanup on module exit. nvme-pci: add NVME_QUIRK_BOGUS_NID for Lexar NM620 sched_getaffinity: don't assume 'cpumask_size()' is fully initialized ACPI: tools: pfrut: Check if the input of level and type is in the right numeric range fbdev: tgafb: Fix potential divide by zero ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() ALSA: asihpi: check pao in control_message() net: hsr: Don't log netdev_err message on unknown prp dst node drm/amdkfd: fix potential kgd_mem UAFs drm/amdkfd: fix a potential double free in pqm_create_queue drm/amdkfd: Fix BO offset for multi-VMA page migration x86/PVH: obtain VGA console info in Dom0 md: avoid signed overflow in slot_store() ASoC: SOF: IPC4: update gain ipc msg definition to align with fw ASoC: SOF: Intel: pci-tng: revert invalid bar size setting ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit ASoC: SOF: ipc3: Check for upper size limit for the received message ACPI: video: Add backlight=native DMI quirk for Dell Vostro 15 3535 zstd: Fix definition of assert() ASoC: Intel: avs: nau8825: Adjust clock control ASoC: Intel: avs: ssm4567: Remove nau8825 bits ASoC: Intel: avs: da7219: Explicitly define codec format ASoC: Intel: avs: max98357a: Explicitly define codec format ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds xfrm: Zero padding when dumping algos and encap cifs: fix missing unload_nls() in smb2_reconnect() arm64: efi: Set NX compat flag in PE/COFF header net: mscc: ocelot: fix stats region batching tracing: Do not let histogram values have some modifiers tracing: Add .graph suffix option to histogram value tracing: Add .percent suffix option to histogram values tty: serial: fsl_lpuart: fix race on RX DMA shutdown tty: serial: fsl_lpuart: switch to new dmaengine_terminate_* API drm/msm/disp/dpu: fix sc7280_pp base offset drm/msm/dpu: correct sm8250 and sm8350 scaler drm/msm/dpu: Refactor sc7280_pp location ARM: dts: aspeed: p10bmc: Update battery node name riscv: ftrace: Fixup panic by disabling preemption net: ethernet: ti: am65-cpsw/cpts: Fix CPTS release action btrfs: zoned: count fresh BG region as zone unusable btrfs: rename BTRFS_FS_NO_OVERCOMMIT to BTRFS_FS_ACTIVE_ZONE_TRACKING kcsan: avoid passing -g for test kernel: kcsan: kcsan_test: build without structleak plugin fsverity: don't drop pagecache at end of FS_IOC_ENABLE_VERITY zonefs: Fix error message in zonefs_file_dio_append() zonefs: Separate zone information from inode information zonefs: Reduce struct zonefs_inode_info size zonefs: Simplify IO error handling zonefs: Reorganize code cifs: avoid race conditions with parallel reconnects cifs: prevent data race in cifs_reconnect_tcon() cifs: update ip_addr for ses only for primary chan setup thunderbolt: Limit USB3 bandwidth of certain Intel USB4 host routers Linux 6.1.22 drm/amdkfd: Fix the memory overrun drm/amdkfd: add GC 11.0.4 KFD support drm/amdkfd: Fix the warning of array-index-out-of-bounds drm/amdkfd: introduce dummy cache info for property asic sched/fair: Sanitize vruntime of entity being migrated sched/fair: sanitize vruntime of entity being placed dm crypt: avoid accessing uninitialized tasklet dm crypt: add cond_resched() to dmcrypt_write() dm stats: check for and propagate alloc_percpu failure i2c: xgene-slimpro: Fix out-of-bounds bug in xgene_slimpro_i2c_xfer() bus: imx-weim: fix branch condition evaluates to a garbage value mm/ksm: fix race with VMA iteration and mm_struct teardown soc: qcom: llcc: Fix slice configuration values for SC8280XP arm64: dts: qcom: sm8150: Fix the iommu mask used for PCIe controllers arm64: dts: qcom: sc7280: Mark PCIe controller as cache coherent firmware: arm_scmi: Fix device node validation for mailbox transport tee: amdtee: fix race condition in amdtee_open_session riscv: Handle zicsr/zifencei issues between clang and binutils riscv: mm: Fix incorrect ASID argument when flushing TLB drm/amdgpu: reposition the gpu reset checking for reuse drm/amdgpu: skip ASIC reset for APUs when go to S4 drm/i915: Preserve crtc_state->inherited during state clearing drm/i915/active: Fix missing debug object activation drm/amdgpu/nv: Apply ASPM quirk on Intel ADL + AMD Navi drm/meson: fix missing component unbind on bind errors drm/amd/display: fix wrong index used in dccg32_set_dpstreamclk drm/bridge: lt8912b: return EPROBE_DEFER if bridge is not found nilfs2: fix kernel-infoleak in nilfs_ioctl_wrap_copy() wifi: mac80211: fix qos on mesh interfaces ksmbd: return unsupported error on smb1 mount ksmbd: return STATUS_NOT_SUPPORTED on unsupported smb2.0 dialect ksmbd: don't terminate inactive sessions after a few seconds ksmbd: set FILE_NAMED_STREAMS attribute in FS_ATTRIBUTE_INFORMATION ksmbd: fix wrong signingkey creation when encryption is AES256 maple_tree: fix mas_skip_node() end slot detection test_maple_tree: add more testing for mas_empty_area() Revert "kasan: drop skip_kasan_poison variable in free_pages_prepare" io_uring/rsrc: fix null-ptr-deref in io_file_bitmap_get() io_uring/net: avoid sending -ECONNABORTED on repeated connection requests kfence: avoid passing -g for test mm: kfence: fix using kfence_metadata without initialization in show_object() usb: ucsi_acpi: Increase the command completion timeout usb: ucsi: Fix NULL pointer deref in ucsi_connector_change() usb: dwc3: gadget: Add 1ms delay after end transfer command without IOC usb: chipidea: core: fix possible concurrent when switch role usb: chipdea: core: fix return -EINVAL if request role is the same with current role usb: cdnsp: changes PCI Device ID to fix conflict with CNDS3 driver usb: cdnsp: Fixes issue with redundant Status Stage usb: cdns3: Fix issue with using incorrect PCI device function usb: typec: tcpm: fix warning when handle discover_identity message usb: typec: tcpm: fix create duplicate source-capabilities file dm thin: fix deadlock when swapping to thin device igb: revert rtnl_lock() that causes deadlock arm64: dts: imx8mm-nitrogen-r2: fix WM8960 clock name lockd: set file_lock start and end when decoding nlm4 testargs fsverity: Remove WQ_UNBOUND from fsverity read workqueue fscrypt: destroy keyring after security_sb_delete() mm/slab: Fix undefined init_cache_node_node() for NUMA and !SMP efi: sysfb_efi: Fix DMI quirks not working for simpledrm Bluetooth: Fix race condition in hci_cmd_sync_clear btrfs: zoned: fix btrfs_can_activate_zone() to support DUP profile usb: gadget: u_audio: don't let userspace block driver unbind usb: dwc2: fix a devres leak in hw_enable upon suspend resume usb: dwc2: drd: fix inconsistent mode if role-switch-default-mode="host" usb: misc: onboard-hub: add support for Microchip USB2517 USB 2.0 hub scsi: core: Add BLIST_SKIP_VPD_PAGES for SKhynix H28U74301AMR selftests/x86/amx: Add a ptrace test x86/fpu/xstate: Prevent false-positive warning in __copy_xstate_uabi_buf() cifs: fix dentry lookups in directory handle cache cifs: print session id while listing open files cifs: dump pending mids for all channels in DebugData cifs: empty interface list when server doesn't support query interfaces cifs: do not poll server interfaces too regularly cifs: append path to open_enter trace event cifs: lock chan_lock outside match_session act_mirred: use the backlog for nested calls to mirred ingress net/sched: act_mirred: better wording on protection against excessive stack growth drm/amd: Fix initialization mistake for NBIO 7.3.0 drm/amdgpu: Fix call trace warning and hang when removing amdgpu device sh: sanitize the flags on sigreturn drm/amd/display: Update clock table to include highest clock setting net: usb: qmi_wwan: add Telit 0x1080 composition net: usb: cdc_mbim: avoid altsetting toggling for Telit FE990 platform/x86: int3472: Add GPIOs to Surface Go 3 Board data scsi: storvsc: Handle BlockSize change in Hyper-V VHD/VHDX file scsi: mpi3mr: Bad drive in topology results kernel crash scsi: mpi3mr: NVMe command size greater than 8K fails scsi: mpi3mr: Wait for diagnostic save during controller init scsi: mpi3mr: Driver unload crashes host when enhanced logging is enabled scsi: lpfc: Avoid usage of list iterator variable after loop scsi: lpfc: Check kzalloc() in lpfc_sli4_cgn_params_read() scsi: ufs: core: Add soft dependency on governor_simpleondemand scsi: hisi_sas: Check devm_add_action() return value scsi: qla2xxx: Add option to disable FC2 Target support scsi: target: iscsi: Fix an error message in iscsi_check_key() selftests/bpf: check that modifier resolves after pointer m68k: Only force 030 bus error if PC not in exception table m68k: mm: Fix systems with memory at end of 32-bit address space HID: intel-ish-hid: ipc: Fix potential use-after-free in work function HID: logitech-hidpp: Add support for Logitech MX Master 3S mouse ca8210: fix mac_len negative array access HID: cp2112: Fix driver not registering GPIO IRQ chip as threaded drm/cirrus: NULL-check pipe->plane.state->fb in cirrus_pipe_update() riscv: Bump COMMAND_LINE_SIZE value to 1024 ACPI: x86: utils: Add Cezanne to the list for forcing StorageD3Enable ACPI: x86: Drop quirk for HP Elitebook ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks thunderbolt: Rename shadowed variables bit to interrupt_bit and auto_clear_bit thunderbolt: Use const qualifier for `ring_interrupt_index` thunderbolt: Add missing UNSET_INBOUND_SBTX for retimer access thunderbolt: Disable interrupt auto clear for rings thunderbolt: Fix memory leak in margining thunderbolt: Add quirk to disable CLx thunderbolt: Call tb_check_quirks() after initializing adapters thunderbolt: Use scale field when allocating USB3 bandwidth uas: Add US_FL_NO_REPORT_OPCODES for JMicron JMS583Gen 2 smb3: fix unusable share after force unmount failure smb3: lower default deferred close timeout to address perf regression scsi: qla2xxx: Perform lockless command completion in abort path scsi: qla2xxx: Synchronize the IOCB count to be in order hwmon (it87): Fix voltage scaling for chips with 10.9mV ADCs hwmon: fix potential sensor registration fail if of_node is missing entry/rcu: Check TIF_RESCHED _after_ delayed RCU wake-up perf/x86/amd/core: Always clear status for idx entry: Fix noinstr warning in __enter_from_user_mode() platform/chrome: cros_ec_chardev: fix kernel data leak from ioctl Bluetooth: HCI: Fix global-out-of-bounds Bluetooth: mgmt: Fix MGMT add advmon with RSSI command Bluetooth: btsdio: fix use after free bug in btsdio_remove due to unfinished work Bluetooth: L2CAP: Fix responding with wrong PDU type Bluetooth: btqcomsmd: Fix command timeout after setting BD address drm/amd/display: Set dcn32 caps.seamless_odm net: mdio: thunder: Add missing fwnode_handle_put() net: dsa: mt7530: move setting ssc_delta to PHY_INTERFACE_MODE_TRGMII case net: dsa: mt7530: move lowering TRGMII driving to mt7530_setup() net: dsa: mt7530: move enabling disabling core clock to mt7530_pll_setup() net: asix: fix modprobe "sysfs: cannot create duplicate filename" gve: Cache link_speed value from device Bluetooth: Remove "Power-on" check from Mesh feature Bluetooth: ISO: fix timestamped HCI ISO data packet parsing Bluetooth: btusb: Remove detection of ISO packets over bulk Bluetooth: hci_core: Detect if an ACL packet is in fact an ISO packet Bluetooth: hci_sync: Resume adv with no RPA when active scan ksmbd: fix possible refcount leak in smb2_open() ksmbd: add low bound validation to FSCTL_QUERY_ALLOCATED_RANGES ksmbd: add low bound validation to FSCTL_SET_ZERO_DATA hvc/xen: prevent concurrent accesses to the shared ring mlxsw: spectrum_fid: Fix incorrect local port type nvme-tcp: fix nvme_tcp_term_pdu to match spec net/sonic: use dma_mapping_error() for error check erspan: do not use skb_mac_header() in ndo_start_xmit() atm: idt77252: fix kmemleak when rmmod idt77252 net: dsa: tag_brcm: legacy: fix daisy-chained switches net/mlx5: E-Switch, Fix an Oops in error handling code net/mlx5: Read the TC mapping of all priorities on ETS query net/mlx5e: Overcome slow response for first macsec ASO WQE net/mlx5: Fix steering rules cleanup net/mlx5e: Block entering switchdev mode with ns inconsistency net/mlx5e: Set uplink rep as NETNS_LOCAL bpf: Adjust insufficient default bpf_jit_limit i40e: fix flow director packet filter programming iavf: fix hang on reboot with ice ice: check if VF exists before mode check keys: Do not cache key in task struct if key is requested from kernel thread bootconfig: Fix testcase to increase max node octeontx2-vf: Add missing free for alloc_percpu net/ps3_gelic_net: Use dma_mapping_error net/ps3_gelic_net: Fix RX sk_buff length net: usb: lan78xx: Limit packet length to skb->len net: qcom/emac: Fix use after free bug in emac_remove due to race condition drm/i915/gt: perform uc late init after probe error injection drm/i915/guc: Fix missing ecodes drm/i915/guc: Rename GuC register state capture node to be more obvious drm/i915/fbdev: lock the fbdev obj before vma pin drm/i915: Print return value on error mlxsw: core_thermal: Fix fan speed in maximum cooling state thermal/drivers/mellanox: Use generic thermal_zone_get_trip() function net: stmmac: Fix for mismatched host/device DMA address width net: mdio: fix owner field for mdio buses registered using ACPI net: mdio: fix owner field for mdio buses registered using device-tree net: phy: Ensure state transitions are processed from phy_stop() xirc2ps_cs: Fix use after free bug in xirc2ps_detach qed/qed_sriov: guard against NULL derefs from qed_iov_get_vf_info efi/libstub: smbios: Use length member instead of record struct size net: usb: smsc95xx: Limit packet length to skb->len net: dsa: b53: mmap: fix device tree support nfsd: don't replace page in rq_pages if it's a continuation of last page scsi: scsi_dh_alua: Fix memleak for 'qdata' in alua_activate() i2c: hisi: Only use the completion interrupt to finish the transfer i2c: mxs: ensure that DMA buffers are safe for DMA i2c: imx-lpi2c: check only for enabled interrupt flags igc: fix the validation logic for taprio's gate list igbvf: Regard vf reset nack as success intel/igbvf: free irq on the error path in igbvf_request_msix() iavf: do not track VLAN 0 filters iavf: fix non-tunneled IPv6 UDP packet type and hashing iavf: fix inverted Rx hash condition leading to disabled hash xsk: Add missing overflow check in xdp_umem_reg NFS: Fix /proc/PID/io read_bytes for buffered reads arm64: dts: imx93: add missing #address-cells and #size-cells to i2c nodes arm64: dts: imx8mn: specify #sound-dai-cells for SAI nodes ARM: dts: imx6sl: tolino-shine2hd: fix usbotg1 pinctrl ARM: dts: imx6sll: e60k02: fix usbotg1 pinctrl ARM: dts: imx6sll: e70k02: fix usbotg1 pinctrl arm64: dts: imx8dxl-evk: Fix eqos phy reset gpio arm64: dts: imx8dxl-evk: Disable hibernation mode of AR8031 for EQOS power: supply: da9150: Fix use after free bug in da9150_charger_remove due to race condition power: supply: bq24190: Fix use after free bug in bq24190_remove due to race condition arm64: dts: qcom: sm8450: Mark UFS controller as cache coherent drm/amd/display: Fix DP MST sinks removal issue mptcp: fix UaF in listener shutdown mptcp: use the workqueue to destroy unaccepted sockets mptcp: refactor passive socket initialization drm/amd/display: Remove OTG DIV register write for Virtual signals. drm/amd/display: fix k1 k2 divider programming for phantom streams drm/amd/display: Include virtual signal to set k1 and k2 values tracing/hwlat: Replace sched_setaffinity with set_cpus_allowed_ptr perf: fix perf_event_context->time perf/core: Fix perf_output_begin parameter is incorrectly invoked in perf_event_bpf_output interconnect: qcom: qcm2290: Fix MASTER_SNOC_BIMC_NRT interconnect: qcom: sm8450: switch to qcom_icc_rpmh_* function interconnect: qcom: osm-l3: fix icc_onecell_data allocation Linux 6.1.21 powerpc/64: Replace -mcpu=e500mc64 by -mcpu=e5500 powerpc: Disable CPU unknown by CLANG when CC_IS_CLANG perf: Fix check before add_event_to_groups() in perf_group_detach() io_uring/msg_ring: let target know allocated index virt/coco/sev-guest: Add throttling awareness virt/coco/sev-guest: Convert the sw_exit_info_2 checking to a switch-case virt/coco/sev-guest: Do some code style cleanups virt/coco/sev-guest: Carve out the request issuing logic into a helper virt/coco/sev-guest: Remove the disable_vmpck label in handle_guest_request() virt/coco/sev-guest: Simplify extended guest request handling virt/coco/sev-guest: Check SEV_SNP attribute at probe time powerpc: Pass correct CPU reference to assembler x86/resctrl: Clear staged_config[] before and after it is used x86/mm: Fix use of uninitialized buffer in sme_enable() x86/mce: Make sure logged MCEs are processed after sysfs update ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP ASoC: Intel: soc-acpi: fix copy-paste issue in topology names cpuidle: psci: Iterate backwards over list in psci_pd_remove() fbdev: Fix incorrect page mapping clearance at fb_deferred_io_release() net: phy: nxp-c45-tja11xx: fix MII_BASIC_CONFIG_REV bit ACPI: PPTT: Fix to avoid sleep in the atomic context when PPTT is absent trace/hwlat: Do not start per-cpu thread if it is already running trace/hwlat: Do not wipe the contents of per-cpu thread data fbdev: stifb: Provide valid pixelclock and add fb_check_var() checks mmc: sdhci_am654: lower power-on failed message severity powerpc/boot: Don't always pass -mcpu=powerpc when building 32-bit uImage powerpc/64: Set default CPU in Kconfig mm: teach mincore_hugetlb about pte markers mm/userfaultfd: propagate uffd-wp bit when PTE-mapping the huge zeropage vp_vdpa: fix the crash in hot unplug with vp_vdpa ice: avoid bonding causing auxiliary plug/unplug under RTNL lock nvme-pci: add NVME_QUIRK_BOGUS_NID for Netac NV3000 ocfs2: fix data corruption after failed write ftrace: Fix invalid address access in lookup_rec() when index is 0 mptcp: fix lockdep false positive in mptcp_pm_nl_create_listen_socket() mptcp: avoid setting TCP_CLOSE state twice mptcp: add ro_after_init for tcp{,v6}_prot_override mptcp: fix possible deadlock in subflow_error_report drm/amd/display: disconnect MPCC only on OTG change drm/amd/display: Do not set DRR on pipe Commit drm/amd/pm: bump SMU 13.0.4 driver_if header version drm/amd/pm: Fix sienna cichlid incorrect OD volage after resume drm/amdgpu: Don't resume IOMMU after incomplete init drm/i915/dg2: Add HDMI pixel clock frequencies 267.30 and 319.89 MHz drm/i915/active: Fix misuse of non-idle barriers as fence trackers drm/sun4i: fix missing component unbind on bind errors drm/shmem-helper: Remove another errant put in error path riscv: asid: Fixup stale TLB entry cause application crash Revert "riscv: mm: notify remote harts about mmu cache updates" ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro ALSA: hda: intel-dsp-config: add MTL PCI id KVM: nVMX: add missing consistency checks for CR0 and CR4 KVM: SVM: Modify AVIC GATag to support max number of 512 vCPUs KVM: SVM: Fix a benign off-by-one bug in AVIC physical table mask cifs: Fix smb2_set_path_size() tracing: Make tracepoint lockdep check actually test something tracing: Check field value in hist_field_name() tracing: Make splice_read available again cifs: generate signkey for the channel that's reconnecting md: select BLOCK_LEGACY_AUTOLOAD interconnect: exynos: fix registration race interconnect: exynos: fix node leak in probe PM QoS error path interconnect: qcom: msm8974: fix registration race interconnect: qcom: rpmh: fix registration race interconnect: qcom: rpmh: fix probe child-node error handling interconnect: qcom: rpm: fix registration race interconnect: qcom: rpm: fix probe child-node error handling interconnect: qcom: osm-l3: fix registration race interconnect: fix mem leak when freeing nodes interconnect: imx: fix registration race interconnect: fix provider registration API interconnect: fix icc_provider_del() error handling s390/ipl: add missing intersection check to ipl_report handling drm/ttm: Fix a NULL pointer dereference memory: tegra30-emc: fix interconnect registration race memory: tegra124-emc: fix interconnect registration race memory: tegra20-emc: fix interconnect registration race memory: tegra: fix interconnect registration race firmware: xilinx: don't make a sleepable memory allocation from an atomic context serial: 8250: ASPEED_VUART: select REGMAP instead of depending on it serial: 8250_fsl: fix handle_irq locking serial: 8250_em: Fix UART port type tty: serial: fsl_lpuart: skip waiting for transmission complete when UARTCTRL_SBK is asserted Revert "tty: serial: fsl_lpuart: adjust SERIAL_FSL_LPUART_CONSOLE config dependency" ext4: fix possible double unlock when moving a directory drm/amd/display: fix shift-out-of-bounds in CalculateVMAndRowBytes drm/amdgpu: fix ttm_bo calltrace warning in psp_hw_fini sh: intc: Avoid spurious sizeof-pointer-div warning LoongArch: Only call get_timer_irq() once in constant_clockevent_init() net/9p: fix bug in client create for .L drm/amdkfd: Fix an illegal memory access ext4: fix task hung in ext4_xattr_delete_inode ext4: update s_journal_inum if it changes after journal replay ext4: fail ext4_iget if special inode unallocated rust: arch/um: Disable FP/SIMD instruction to match x86 jffs2: correct logic when creating a hole in jffs2_write_begin soc: mediatek: mtk-svs: keep svs alive if CONFIG_DEBUG_FS not supported mmc: atmel-mci: fix race between stop command and start of next command media: m5mols: fix off-by-one loop termination error hwmon: (ltc2992) Set `can_sleep` flag for GPIO chip hwmon: (adm1266) Set `can_sleep` flag for GPIO chip kconfig: Update config changed flag before calling callback hwmon: tmp512: drop of_match_ptr for ID table hwmon: (ucd90320) Add minimum delay between bus accesses hwmon: (ina3221) return prober error code hwmon: (xgene) Fix use after free bug in xgene_hwmon_remove due to race condition hwmon: (adt7475) Fix masking of hysteresis registers hwmon: (adt7475) Display smoothing attributes in correct order bonding: restore bond's IFF_SLAVE flag if a non-eth dev enslave fails bonding: restore IFF_MASTER/SLAVE flags on bond enslave ether type change ethernet: sun: add check for the mdesc_grab() net: dsa: microchip: fix RGMII delay configuration on KSZ8765/KSZ8794/KSZ8795 qed/qed_mng_tlv: correctly zero out ->min instead of ->hour selftests: net: devlink_port_split.py: skip test if no suitable device available i825xx: sni_82596: use eth_hw_addr_set() net/iucv: Fix size of interrupt data net: atlantic: Fix crash when XDP is enabled but no program is loaded net: usb: smsc75xx: Move packet length check to prevent kernel panic in skb_pull ipv4: Fix incorrect table ID in IOCTL path sh_eth: avoid PHY being resumed when interface is not up ravb: avoid PHY being resumed when interface is not up net: dsa: mv88e6xxx: fix max_mtu of 1492 on 6165, 6191, 6220, 6250, 6290 net: dsa: don't error out when drivers return ETH_DATA_LEN in .port_max_mtu() ice: xsk: disable txq irq before flushing hw veth: Fix use after free in XDP_REDIRECT net/mlx5: Set BREAK_FW_WAIT flag first when removing driver net/mlx5e: Fix cleanup null-ptr deref on encap lock net/mlx5: E-switch, Fix missing set of split_count when forward to ovs internal port net/mlx5: E-switch, Fix wrong usage of source port rewrite in split rules net/mlx5e: Support Geneve and GRE with VF tunnel offload net/mlx5: Disable eswitch before waiting for VF pages net/mlx5: Fix setting ec_function bit in MANAGE_PAGES net/mlx5e: Don't cache tunnel offloads capability net/mlx5e: Fix macsec ASO context alignment block: sunvdc: add check for mdesc_grab() returning NULL nvmet: avoid potential UAF in nvmet_req_complete() nvme: fix handling single range discard request block: null_blk: Fix handling of fake timeout request powerpc/mm: Fix false detection of read faults drm/bridge: Fix returned array size name for atomic_get_input_bus_fmts kdoc net: usb: smsc75xx: Limit packet length to skb->len net/smc: fix deadlock triggered by cancel_delayed_work_syn() mlxsw: spectrum: Fix incorrect parsing depth after reload nfc: st-nci: Fix use after free bug in ndlc_remove due to race condition tcp: Fix bind() conflict check for dual-stack wildcard address. net: phy: smsc: bail out in lan87xx_read_status if genphy_read_status fails net: tunnels: annotate lockless accesses to dev->needed_headroom loop: Fix use-after-free issues block: do not reverse request order when flushing plug list net: dsa: mt7530: set PLL frequency and trgmii only when trgmii is used net: dsa: mt7530: remove now incorrect comment regarding port 5 qed/qed_dev: guard against a possible division by zero net/smc: fix NULL sndbuf_desc in smc_cdc_tx_handler() drm/i915/sseu: fix max_subslices array-index-out-of-bounds access drm/i915/psr: Use calculated io and fast wake lines PCI: s390: Fix use-after-free of PCI resources with per-function hotplug vdpa_sim: set last_used_idx as last_avail_idx in vdpasim_queue_ready vdpa_sim: not reset state in vdpasim_queue_ready vhost-vdpa: free iommu domain after last use during cleanup i40e: Fix kernel crash during reboot when adapter is in recovery mode ipvlan: Make skb->skb_iif track skb->dev for l3s mode nfc: pn533: initialize struct pn533_out_arg properly selftests: fix LLVM build for i386 and x86_64 wifi: cfg80211: fix MLO connection ownership wifi: nl80211: fix NULL-ptr deref in offchan check vdpa/mlx5: should not activate virtq object when suspended tcp: tcp_make_synack() can be called from process context ftrace,kcfi: Define ftrace_stub_graph conditionally scsi: core: Fix a procfs host directory removal regression scsi: core: Add BLIST_NO_VPD_SIZE for some VDASD netfilter: nft_redir: correct value of inet type `.maxattrs` netfilter: nft_redir: correct length for loading protocol registers netfilter: nft_masq: correct length for loading protocol registers netfilter: nft_nat: correct length for loading protocol registers ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() scsi: mpi3mr: Fix expander node leak in mpi3mr_remove() scsi: mpi3mr: ioctl timeout when disabling/enabling interrupt scsi: mpi3mr: Fix memory leaks in mpi3mr_init_ioc() scsi: mpi3mr: Return proper values for failures in firmware init path scsi: mpi3mr: Fix sas_hba.phy memory leak in mpi3mr_remove() scsi: mpi3mr: Fix mpi3mr_hba_port memory leak in mpi3mr_remove() scsi: mpi3mr: Fix config page DMA memory leak scsi: mpi3mr: Fix throttle_groups memory leak scsi: mpt3sas: Fix NULL pointer access in mpt3sas_transport_port_add() docs: Correct missing "d_" prefix for dentry_operations member d_weak_revalidate ASoC: SOF: ipc4-topology: set dmic dai index from copier ASOC: SOF: Intel: pci-tgl: Fix device description ASoC: SOF: Intel: SKL: Fix device description ASoC: SOF: Intel: HDA: Fix device description ASoC: SOF: Intel: MTL: Fix the device description clk: HI655X: select REGMAP instead of depending on it drm/meson: fix 1px pink line on GXM when scaling video overlay cifs: Move the in_send statistic to __smb_send_rqst() fbdev: chipsfb: Fix error codes in chipsfb_pci_init() drm/panfrost: Don't sync rpm suspension after mmu flushing drm/msm/gem: Prevent blocking within shrinker loop drm/virtio: Pass correct device to dma_sync_sgtable_for_device() xfrm: Allow transport-mode states with AF_UNSPEC selector Linux 6.1.20 UML: define RUNTIME_DISCARD_EXIT Revert "bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES" filelocks: use mount idmapping for setlease permission check drm/amd/display: adjust MALL size available for DCN32 and DCN321 drm/amd/display: Allow subvp on vactive pipes that are 2560x1440@60 media: rc: gpio-ir-recv: add remove function media: ov5640: Fix analogue gain control scripts: handle BrokenPipeError for python scripts PCI: Add SolidRun vendor ID macintosh: windfarm: Use unsigned type for 1-bit bitfields alpha: fix R_ALPHA_LITERAL reloc for large modules powerpc/kcsan: Exclude udelay to prevent recursive instrumentation powerpc/64: Move paca allocation to early_setup() powerpc/64: Fix task_cpu in early boot when booting non-zero cpuid powerpc/bpf/32: Only set a stack frame when necessary clk: renesas: rcar-gen3: Disable R-Car H3 ES1.* powerpc/iommu: fix memory leak with using debugfs_lookup() powerpc/64: Don't recurse irq replay MIPS: Fix a compilation issue tpm/eventlog: Don't abort tpm_read_log on faulty ACPI address watch_queue: fix IOC_WATCH_QUEUE_SET_SIZE alloc error paths drm/msm/adreno: fix runtime PM imbalance at unbind adreno: Shutdown the GPU properly drm/amdgpu/soc21: Add video cap query support for VCN_4_0_4 drm/amdgpu/soc21: don't expose AV1 if VCN0 is harvested ext4: Fix deadlock during directory rename drm/amdgpu: fix return value check in kfd RISC-V: Don't check text_mutex during stop_machine riscv: Use READ_ONCE_NOCHECK in imprecise unwinding stack mode erofs: Revert "erofs: fix kvcalloc() misuse with __GFP_NOFAIL" af_unix: fix struct pid leaks in OOB support net: dsa: mt7530: permit port 5 to work without port 6 on MT7621 SoC SUNRPC: Fix a server shutdown leak octeontx2-af: Unlock contexts in the queue context cache in case of fault detection net/smc: fix fallback failed while sendmsg with fastopen ethernet: ice: avoid gcc-9 integer overflow warning ice: Fix DSCP PFC TLV creation NFSD: Protect against filesystem freezing block: fix wrong mode for blkdev_put() from disk_scan_partitions() platform: x86: MLX_PLATFORM: select REGMAP instead of depending on it platform: mellanox: select REGMAP instead of depending on it netfilter: conntrack: adopt safer max chain length scsi: sd: Fix wrong zone_write_granularity value during revalidate scsi: megaraid_sas: Update max supported LD IDs to 240 net: tls: fix device-offloaded sendpage straddling records net: ethernet: mtk_eth_soc: fix RX data corruption issue net: phy: smsc: fix link up detection in forced irq mode btf: fix resolving BTF_KIND_VAR after ARRAY, STRUCT, UNION, PTR bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES btrfs: fix extent map logging bit not cleared for split maps after dropping range m68k: mm: Move initrd phys_to_virt handling after paging_init() netfilter: tproxy: fix deadlock due to missing BH disable netfilter: ctnetlink: revert to dumping mark regardless of event type bnxt_en: Avoid order-5 memory allocation for TPA data net: phylib: get rid of unnecessary locking net: stmmac: add to set device wake up flag when stmmac init phy drm/msm/dpu: clear DSPP reservations in rm release drm/msm/dpu: fix clocks settings for msm8998 SSPP blocks drm/msm/dpu: drop DPU_DIM_LAYER from MIXER_MSM8998_MASK drm/msm/dpu: fix len of sc7180 ctl blocks bpf, sockmap: Fix an infinite loop error when len is 0 in tcp_bpf_recvmsg_parser() ice: copy last block omitted in ice_get_module_eeprom() net: caif: Fix use-after-free in cfusbl_device_notify() net: lan78xx: fix accessing the LAN7800's internal phy specific registers from the MAC driver perf stat: Fix counting when initial delay configured net: use indirect calls helpers for sk_exit_memory_pressure() net: tls: fix possible race condition between do_tls_getsockopt_conf() and do_tls_setsockopt_conf() netfilter: nft_quota: copy content when cloning expression netfilter: nft_last: copy content when cloning expression selftests: nft_nat: ensuring the listening side is up before starting the client net: lan966x: Fix port police support using tc-matchall ila: do not generate empty messages in ila_xlat_nl_cmd_get_mapping() drm/msm/dpu: disable features unsupported by QCM2290 tls: rx: fix return value for async crypto powerpc: dts: t1040rdb: fix compatible string for Rev A boards nfc: fdp: add null check of devm_kmalloc_array in fdp_nci_i2c_read_device_properties bgmac: fix *initial* chip reset to support BCM5358 drm/msm/a5xx: fix context faults during ring switch drm/msm/a5xx: fix the emptyness check in the preempt code drm/msm/a5xx: fix highest bank bit for a530 drm/msm/a5xx: fix setting of the CP_PREEMPT_ENABLE_LOCAL register drm/msm: Fix potential invalid ptr free drm/nouveau/kms/nv50: fix nv50_wndw_new_ prototype cifs: improve checking of DFS links over STATUS_OBJECT_NAME_INVALID ext4: Fix possible corruption when moving a directory scsi: core: Remove the /proc/scsi/${proc_name} directory earlier riscv: Add header include guards to insn.h block: fix scan partition for exclusively open device again block: Revert "block: Do not reread partition table on exclusively open device" drm/i915: Populate encoder->devdata for DSI on icl+ drm/i915: Do panel VBT init early if the VBT declares an explicit panel type drm/i915: Introduce intel_panel_init_alloc() spi: intel: Check number of chip selects after reading the descriptor ipmi:ssif: Add a timer between request retries ipmi:ssif: Increase the message retry time ipmi:ssif: Remove rtc_us_timer Input: exc3000 - properly stop timer on shutdown bus: mhi: ep: Change state_lock to mutex bus: mhi: ep: Power up/down MHI stack during MHI RESET udf: Fix off-by-one error when discarding preallocation fs: dlm: fix race setting stop tx flag fs: dlm: be sure to call dlm_send_queue_flush() fs: dlm: use WARN_ON_ONCE() instead of WARN_ON() fs: dlm: fix use after free in midcomms commit fd: dlm: trace send/recv of dlm message and rcom fs: dlm: use packet in dlm_mhandle fs: dlm: remove send repeat remove handling fs: dlm: start midcomms before scand fs: dlm: add midcomms init/start functions fs: dlm: fix log of lowcomms vs midcomms KVM: VMX: Do _all_ initialization before exposing /dev/kvm to userspace KVM: x86: Move guts of kvm_arch_init() to standalone helper KVM: VMX: Don't bother disabling eVMCS static key on module exit KVM: VMX: Reset eVMCS controls in VP assist page during hardware disabling nfc: change order inside nfc_se_io error path HID: uhid: Over-ride the default maximum data buffer value with our own HID: core: Provide new max_buffer_size attribute to over-ride the default ext4: zero i_disksize when initializing the bootloader inode ext4: fix WARNING in ext4_update_inline_data ext4: move where set the MAY_INLINE_DATA flag is set ext4: fix another off-by-one fsmap error on 1k block filesystems ext4: fix RENAME_WHITEOUT handling for inline directories ext4: fix cgroup writeback accounting with fs-layer encryption staging: rtl8723bs: Pass correct parameters to cfg80211_get_bss() staging: rtl8723bs: Fix key-store index handling drm/connector: print max_requested_bpc in state debugfs drm/display: Don't block HDR_OUTPUT_METADATA on unknown EOTF drm/amdgpu: fix error checking in amdgpu_read_mm_registers for nv drm/amdgpu: fix error checking in amdgpu_read_mm_registers for soc21 drm/amdgpu: fix error checking in amdgpu_read_mm_registers for soc15 x86/CPU/AMD: Disable XSAVES on AMD family 0x17 RISC-V: Stop emitting attributes fork: allow CLONE_NEWTIME in clone3 flags perf inject: Fix --buildid-all not to eat up MMAP2 erofs: fix wrong kunmap when using LZMA on HIGHMEM platforms io_uring/uring_cmd: ensure that device supports IOPOLL btrfs: fix percent calculation for bg reclaim message btrfs: fix unnecessary increment of read error stat on write error fs: prevent out-of-bounds array speculation when closing a file descriptor Linux 6.1.19 staging: rtl8192e: Remove call_usermodehelper starting RadioPower.sh staging: rtl8192e: Remove function ..dm_check_ac_dc_power calling a script wifi: cfg80211: Partial revert "wifi: cfg80211: Fix use after free for wext" tpm: disable hwrng for fTPM on some AMD designs Linux 6.1.18 usb: gadget: uvc: fix missing mutex_unlock() if kstrtou8() fails arm64: Reset KASAN tag in copy_highpage with HW tags only arm64: mte: Fix/clarify the PG_mte_tagged semantics arm64: efi: Make efi_rt_lock a raw_spinlock io_uring/poll: allow some retries for poll triggering spuriously io_uring: fix two assignments in if conditions media: uvcvideo: Fix race condition with usb_kill_urb drm/i915: Fix system suspend without fbdev being initialized drm/i915/dp_mst: Add the MST topology state for modesetted CRTCs drm/display/dp_mst: Fix payload addition on a disconnected sink drm/display/dp_mst: Fix down message handling after a packet reception error drm/display/dp_mst: Fix down/up message handling after sink disconnect drm/display/dp_mst: Add drm_atomic_get_old_mst_topology_state() vDPA/ifcvf: allocate the adapter in dev_add() vDPA/ifcvf: manage ifcvf_hw in the mgmt_dev vDPA/ifcvf: ifcvf_request_irq works on ifcvf_hw vDPA/ifcvf: decouple config/dev IRQ requester and vectors allocator from the adapter vDPA/ifcvf: decouple vq irq requester from the adapter vDPA/ifcvf: decouple config IRQ releaser from the adapter vDPA/ifcvf: decouple vq IRQ releasers from the adapter vDPA/ifcvf: alloc the mgmt_dev before the adapter vDPA/ifcvf: decouple config space ops from the adapter vDPA/ifcvf: decouple hw features manipulators from the adapter x86/resctl: fix scheduler confusion with 'current' net: tls: avoid hanging tasks on the tx_lock soundwire: cadence: Drain the RX FIFO after an IO timeout soundwire: cadence: Remove wasted space in response_buf iommu: Attach device group to old domain in error path RDMA/cma: Distinguish between sockaddr_in and sockaddr_in6 by size phy: rockchip-typec: Fix unsigned comparison with less than zero PCI: pciehp: Add Qualcomm quirk for Command Completed erratum PCI: Add ACS quirk for Wangxun NICs PCI: loongson: Add more devices that need MRRS quirk kernel/fail_function: fix memory leak with using debugfs_lookup() drivers: base: dd: fix memory leak with using debugfs_lookup() drivers: base: component: fix memory leak with using debugfs_lookup() misc: vmw_balloon: fix memory leak with using debugfs_lookup() tty: pcn_uart: fix memory leak with using debugfs_lookup() PCI: Distribute available resources for root buses, too PCI: Take other bus devices into account when distributing resources PCI: Align extra resources for hotplug bridges properly usb: gadget: uvc: Make bSourceID read/write usb: uvc: Enumerate valid values for color matching USB: ene_usb6250: Allocate enough memory for full object usb: host: xhci: mvebu: Iterate over array indexes instead of using pointer math USB: gadget: pxa27x_udc: fix memory leak with using debugfs_lookup() USB: gadget: pxa25x_udc: fix memory leak with using debugfs_lookup() USB: gadget: lpc32xx_udc: fix memory leak with using debugfs_lookup() USB: gadget: bcm63xx_udc: fix memory leak with using debugfs_lookup() USB: gadget: gr_udc: fix memory leak with using debugfs_lookup() USB: isp1362: fix memory leak with using debugfs_lookup() USB: isp116x: fix memory leak with using debugfs_lookup() USB: fotg210: fix memory leak with using debugfs_lookup() USB: sl811: fix memory leak with using debugfs_lookup() USB: uhci: fix memory leak with using debugfs_lookup() USB: ULPI: fix memory leak with using debugfs_lookup() USB: chipidea: fix memory leak with using debugfs_lookup() USB: dwc3: fix memory leak with using debugfs_lookup() staging: pi433: fix memory leak with using debugfs_lookup() PCI: loongson: Prevent LS7A MRRS increases soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() media: uvcvideo: Add GUID for BGRA/X 8:8:8:8 iio: accel: mma9551_core: Prevent uninitialized variable in mma9551_read_config_word() iio: accel: mma9551_core: Prevent uninitialized variable in mma9551_read_status_word() bus: mhi: ep: Fix the debug message for MHI_PKT_TYPE_RESET_CHAN_CMD cmd tools/iio/iio_utils:fix memory leak mei: bus-fixup:upon error print return values of send and receive serial: sc16is7xx: setup GPIO controller later in probe tty: serial: fsl_lpuart: disable the CTS when send break signal tty: fix out-of-bounds access in tty_driver_lookup_tty() staging: emxx_udc: Add checks for dma_alloc_coherent() cacheinfo: Fix shared_cpu_map to handle shared caches at different levels USB: fix memory leak with using debugfs_lookup() media: uvcvideo: Silence memcpy() run-time false positive warnings media: uvcvideo: Quirk for autosuspend in Logitech B910 and C910 media: uvcvideo: Handle errors from calls to usb_string media: uvcvideo: Handle cameras with invalid descriptors media: uvcvideo: Remove format descriptions PCI/ACPI: Account for _S0W of the target bridge in acpi_pci_bridge_d3() iommu/amd: Fix error handling for pdev_pri_ats_enable() IB/hfi1: Update RMT size calculation mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak bootconfig: Increase max nodes of bootconfig from 1024 to 8192 for DCC support firmware/efi sysfb_efi: Add quirk for Lenovo IdeaPad Duet 3 kernel/printk/index.c: fix memory leak with using debugfs_lookup() tracing: Add NULL checks for buffer in ring_buffer_free_read_page() thermal: intel: BXT_PMIC: select REGMAP instead of depending on it thermal: intel: quark_dts: fix error pointer dereference ASoC: mediatek: mt8195: add missing initialization ASoC: zl38060 add gpiolib dependency nvme-fabrics: show well known discovery name nvme-tcp: don't access released socket during error recovery nvme: bring back auto-removal of deleted namespaces during sequential scan ASoC: apple: mca: Improve handling of unavailable DMA channels ASoC: apple: mca: Fix SERDES reset sequence ASoC: apple: mca: Fix final status read on SERDES reset ASoC: adau7118: don't disable regulators on device unbind loop: loop_set_status_from_info() check before assignment io_uring: fix size calculation when registering buf ring rtc: allow rtc_read_alarm without read_alarm callback scsi: mpi3mr: Use number of bits to manage bitmap sizes scsi: mpi3mr: Fix an issue found by KASAN scsi: ipr: Work around fortify-string warning genirq/ipi: Fix NULL pointer deref in irq_data_get_affinity_mask() rtc: sun6i: Always export the internal oscillator spi: tegra210-quad: Fix iterator outside loop vc_screen: modify vcs_size() handling in vcs_read() tcp: tcp_check_req() can be called from process context ARM: dts: spear320-hmi: correct STMPE GPIO compatible net: dsa: felix: fix internal MDIO controller resource length net: dsa: seville: ignore mscc-miim read errors from Lynx PCS net/sched: act_sample: fix action bind logic net/sched: act_mpls: fix action bind logic net/sched: act_pedit: fix action bind logic net/sched: transition act_pedit to rcu and percpu stats nfc: fix memory leak of se_io context in nfc_genl_se_io ext4: fix incorrect options show of original mount_opt and extend mount_opt2 net/mlx5: Geneve, Fix handling of Geneve object id as error code net/mlx5e: Verify flow_source cap before using it net/mlx5: ECPF, wait for VF pages only after disabling host PFs mlx5: fix possible ptp queue fifo use-after-free mlx5: fix skb leak while fifo resync and push spi: tegra210-quad: Fix validate combined sequence 9p/rdma: unmap receive dma buffer in rdma_request()/post_recv() 9p/xen: fix connection sequence 9p/xen: fix version parsing net: fix __dev_kfree_skb_any() vs drop monitor octeontx2-pf: Use correct struct reference in test condition sctp: add a refcnt in sctp_stream_priorities to avoid a nested loop net: sunhme: Fix region request octeontx2-pf: Recalculate UDP checksum for ptp 1-step sync packet ipv6: Add lwtunnel encap size of all siblings in nexthop calculation drm/i915: move a Kconfig symbol to unbreak the menu presentation ptp: vclock: use mutex to fix "sleep on atomic" bug netfilter: x_tables: fix percpu counter block leak on error path when creating new netns netfilter: ctnetlink: make event listener tracking global netfilter: xt_length: use skb len to match in length_mt6 netfilter: ebtables: fix table blob use-after-free netfilter: ip6t_rpfilter: Fix regression with VRF interfaces netfilter: conntrack: fix rmmod double-free race netfilter: ctnetlink: fix possible refcount leak in ctnetlink_create_conntrack() watchdog: sbsa_wdog: Make sure the timeout programming is within the limits watchdog: pcwd_usb: Fix attempting to access uninitialized memory watchdog: Fix kmemleak in watchdog_cdev_register watchdog: at91sam9_wdt: use devm_request_irq to avoid missing free_irq() in error path watchdog: rzg2l_wdt: Handle TYPE-B reset for RZ/V2M watchdog: rzg2l_wdt: Issue a reset before we put the PM clocks f2fs: synchronize atomic write aborts um: virt-pci: properly remove PCI device from bus um: virtio_uml: move device breaking into workqueue um: virtio_uml: mark device as unregistered when breaking it um: virtio_uml: free command if adding to virtqueue failed x86: um: vdso: Add '%rcx' and '%r11' to the syscall clobber list netfilter: nf_tables: allow to fetch set elements when table has an owner ext4: don't show commit interval if it is zero ext4: use ext4_fc_tl_mem in fast-commit replay path soc: qcom: stats: Populate all subsystem debugfs files f2fs: fix to avoid potential memory corruption in __update_iostat_latency() ubi: ubi_wl_put_peb: Fix infinite loop when wear-leveling work failed ubi: Fix UAF wear-leveling entry in eraseblk_count_seq_show() ubi: fastmap: Fix missed fm_anchor PEB in wear-leveling after disabling fastmap ubifs: ubifs_releasepage: Remove ubifs_assert(0) to valid this process ubifs: ubifs_writepage: Mark page dirty after writing inode failed ubifs: dirty_cow_znode: Fix memleak in error handling path ubifs: Re-statistic cleaned znode count if commit failed ubi: Fix possible null-ptr-deref in ubi_free_volume() ubifs: Fix memory leak in alloc_wbufs() ubi: Fix unreferenced object reported by kmemleak in ubi_resize_volume() ubi: Fix use-after-free when volume resizing failed ubifs: Reserve one leb for each journal head while doing budget ubifs: do_rename: Fix wrong space budget when target inode's nlink > 1 ubifs: Fix wrong dirty space budget for dirty inode ubifs: Rectify space budget for ubifs_xrename() ubifs: Rectify space budget for ubifs_symlink() if symlink is encrypted ubifs: Fix memory leak in ubifs_sysfs_init() ubifs: Fix build errors as symbol undefined ubi: ensure that VID header offset + VID header size <= alloc, size um: vector: Fix memory leak in vector_config f2fs: fix to abort atomic write only during do_exist() f2fs: allow set compression option of files without blocks fs: f2fs: initialize fsdata in pagecache_write() soc: mediatek: mtk-svs: Use pm_runtime_resume_and_get() in svs_init01() soc: mediatek: mtk-svs: reset svs when svs_resume() fail soc: mediatek: mtk-svs: restore default voltages when svs_init02() fail f2fs: clear atomic_write_task in f2fs_abort_atomic_write() f2fs: correct i_size change for atomic writes f2fs: introduce trace_f2fs_replace_atomic_write_block pwm: stm32-lp: fix the check on arr and cmp registers update pwm: sifive: Always let the first pwm_apply_state succeed soc: mediatek: mtk-svs: Enable the IRQ later memory: renesas-rpc-if: Move resource acquisition to .probe() memory: renesas-rpc-if: Split-off private data from struct rpcif soc: mediatek: mtk-pm-domains: Allow mt8186 ADSP default power on objtool: Fix memory leak in create_static_call_sections() f2fs: fix to avoid potential deadlock f2fs: don't rely on F2FS_MAP_* in f2fs_iomap_begin driver: soc: xilinx: fix memory leak in xlnx_add_cb_for_notify_event() fs/jfs: fix shift exponent db_agl2size negative auxdisplay: hd44780: Fix potential memory leak in hd44780_remove() net/sched: Retire tcindex classifier Linux 6.1.17 Revert "blk-cgroup: dropping parent refcount after pd_free_fn() is done" Revert "blk-cgroup: synchronize pd_free_fn() from blkg_free_workfn() and blkcg_deactivate_policy()" Linux 6.1.16 net: avoid double iput when sock_alloc_file fails kbuild: Port silent mode detection to future gnu make. sbitmap: Try each queue to wake up at least one waiter wait: Return number of exclusive waiters awaken sbitmap: Advance the queue index before waking up a queue brd: use radix_tree_maybe_preload instead of radix_tree_preload qede: avoid uninitialized entries in coal_entry array drm/edid: fix parsing of 3D modes from HDMI VSDB drm/edid: fix AVI infoframe aspect ratio handling drm/gud: Fix UBSAN warning drm/i915: Don't use BAR mappings for ring buffers with LLC drm/i915: Don't use stolen memory for ring buffers with LLC drm/radeon: Fix eDP for single-display iMac11,2 drm/i915/quirks: Add inverted backlight quirk for HP 14-r206nv drm/amd: Fix initialization for nbio 7.5.1 vfio/type1: restore locked_vm vfio/type1: track locked_vm per dma vfio/type1: prevent underflow of locked_vm via exec() vfio/type1: exclude mdevs from VFIO_UPDATE_VADDR iommu/vt-d: Fix PASID directory pointer coherency iommu/vt-d: Avoid superfluous IOTLB tracking in lazy mode bus: mhi: ep: Save channel state locally during suspend and resume bus: mhi: ep: Move chan->lock to the start of processing queued ch ring bus: mhi: ep: Only send -ENOTCONN status if client driver is available PCI/DPC: Await readiness of secondary bus after reset PCI: Avoid FLR for AMD FCH AHCI adapters PCI: hotplug: Allow marking devices as disconnected during bind/unbind PCI: Unify delay handling for reset and resume PCI/PM: Observe reset delay irrespective of bridge_d3 MIPS: DTS: CI20: fix otg power gpio riscv: ftrace: Reduce the detour code size to half riscv: ftrace: Remove wasted nops for !RISCV_ISA_C riscv, mm: Perform BPF exhandler fixup on page fault riscv: jump_label: Fixup unaligned arch_static_branch function riscv: mm: fix regression due to update_mmu_cache change riscv: Avoid enabling interrupts in die() RISC-V: add a spin_shadow_stack declaration scsi: ses: Fix slab-out-of-bounds in ses_intf_remove() scsi: ses: Fix possible desc_ptr out-of-bounds accesses scsi: ses: Fix possible addl_desc_ptr out-of-bounds accesses scsi: ses: Fix slab-out-of-bounds in ses_enclosure_data_process() scsi: ses: Don't attach if enclosure has no components scsi: qla2xxx: Remove increment of interface err cnt scsi: qla2xxx: Fix erroneous link down scsi: qla2xxx: Remove unintended flag clearing scsi: qla2xxx: Fix DMA-API call trace on NVMe LS requests scsi: qla2xxx: Check if port is online before sending ELS scsi: qla2xxx: Fix link failure in NPIV environment scsi: aacraid: Allocate cmd_priv with scsicmd iommu/amd: Improve page fault error reporting iommu/amd: Add a length limitation for the ivrs_acpihid command-line parameter tracing/eprobe: Fix to add filter on eprobe description in README file tools/bootconfig: fix single & used for logical condition ring-buffer: Handle race between rb_move_tail and rb_check_pages memory tier: release the new_memtier in find_create_memory_tier() ktest.pl: Add RUN_TIMEOUT option with default unlimited ktest.pl: Fix missing "end_monitor" when machine check fails kprobes: Fix to handle forcibly unoptimized kprobes on freeing_list ktest.pl: Give back console on Ctrt^C on monitor mm/thp: check and bail out if page in deferred queue already mm: memcontrol: deprecate charge moving docs: gdbmacros: print newest record remoteproc/mtk_scp: Move clk ops outside send_lock media: ipu3-cio2: Fix PM runtime usage_count in driver unbind mips: fix syscall_get_nr dax/kmem: Fix leak of memory-hotplug resources alpha: fix FEN fault handling mm/hwpoison: convert TTU_IGNORE_HWPOISON to TTU_HWPOISON panic: fix the panic_print NMI backtrace setting regulator: core: Use ktime_get_boottime() to determine how long a regulator was off ceph: update the time stamps and try to drop the suid/sgid rbd: avoid use-after-free in do_rbd_add() when rbd_dev_create() fails fuse: add inode/permission checks to fileattr_get/fileattr_set arm64: mm: hugetlb: Disable HUGETLB_PAGE_OPTIMIZE_VMEMMAP ARM: dts: exynos: correct TMU phandle in Odroid HC1 ARM: dts: exynos: correct TMU phandle in Odroid XU ARM: dts: exynos: correct TMU phandle in Exynos5250 ARM: dts: exynos: correct TMU phandle in Odroid XU3 family ARM: dts: exynos: correct TMU phandle in Exynos4 ARM: dts: exynos: correct TMU phandle in Exynos4210 ARM: dts: qcom: sdx55: Add Qcom SMMU-500 as the fallback for IOMMU node ARM: dts: qcom: sdx65: Add Qcom SMMU-500 as the fallback for IOMMU node hwmon: (nct6775) Fix incorrect parenthesization in nct6775_write_fan_div() hwmon: (peci/cputemp) Fix off-by-one in coretemp_label allocation dm flakey: fix a bug with 32-bit highmem systems dm flakey: don't corrupt the zero page dm cache: free background tracker's queued work in btracker_destroy dm flakey: fix logic when corrupting a bio thermal: intel: powerclamp: Fix cur_state for multi package system qede: fix interrupt coalescing configuration cpuidle: add ARCH_SUSPEND_POSSIBLE dependencies wifi: cfg80211: Set SSID if it is not already set wifi: cfg80211: Fix use after free for wext wifi: ath11k: allow system suspend to survive ath11k wifi: rtl8xxxu: Use a longer retry limit of 48 wifi: rtw88: use RTW_FLAG_POWERON flag to prevent to power on/off twice dm: add cond_resched() to dm_wq_requeue_work() dm: add cond_resched() to dm_wq_work() dm: send just one event on resize, not two mtd: spi-nor: Fix shift-out-of-bounds in spi_nor_set_erase_type mtd: spi-nor: spansion: Consider reserved bits in CFR5 register mtd: spi-nor: sfdp: Fix index value for SCCR dwords cxl/pmem: Fix nvdimm registration races ext4: refuse to create ea block when umounted ext4: optimize ea_inode block expansion jbd2: fix data missing when reusing bh which is ready to be checkpointed ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() mm/damon/paddr: fix missing folio_put() crypto: qat - fix out-of-bounds read irqdomain: Fix domain registration race irqdomain: Fix mapping-creation race irqdomain: Refactor __irq_domain_alloc_irqs() irqdomain: Drop bogus fwspec-mapping error handling irqdomain: Look for existing mapping only once irqdomain: Fix disassociation race irqdomain: Fix association race selftests: seccomp: Fix incorrect kernel headers search path selftests: vm: Fix incorrect kernel headers search path selftests: dmabuf-heaps: Fix incorrect kernel headers search path selftests: drivers: Fix incorrect kernel headers search path selftests: futex: Fix incorrect kernel headers search path selftests: ipc: Fix incorrect kernel headers search path selftests: perf_events: Fix incorrect kernel headers search path selftests: mount_setattr: Fix incorrect kernel headers search path selftests: move_mount_set_group: Fix incorrect kernel headers search path selftests: rseq: Fix incorrect kernel headers search path selftests: sync: Fix incorrect kernel headers search path selftests: ptp: Fix incorrect kernel headers search path selftests: user_events: Fix incorrect kernel headers search path selftests: filesystems: Fix incorrect kernel headers search path selftests: gpio: Fix incorrect kernel headers search path selftests: media_tests: Fix incorrect kernel headers search path selftests: kcmp: Fix incorrect kernel headers search path selftests: membarrier: Fix incorrect kernel headers search path selftests: pidfd: Fix incorrect kernel headers search path selftests: clone3: Fix incorrect kernel headers search path selftests: arm64: Fix incorrect kernel headers search path selftests: pid_namespace: Fix incorrect kernel headers search path selftests: core: Fix incorrect kernel headers search path selftests: sched: Fix incorrect kernel headers search path selftests/ftrace: Fix eprobe syntax test case to check filter support selftests/powerpc: Fix incorrect kernel headers search path ima: Align ima_file_mmap() parameters with mmap_file LSM hook ima: fix error handling logic when file measurement failed brd: check for REQ_NOWAIT and set correct page allocation mask brd: return 0/-error from brd_insert_page() brd: mark as nowait compatible virt/sev-guest: Return -EIO if certificate buffer is not large enough Documentation/hw-vuln: Document the interaction between IBRS and STIBP x86/speculation: Allow enabling STIBP with legacy IBRS x86/microcode/AMD: Fix mixed steppings support x86/microcode/AMD: Add a @cpu parameter to the reloading functions x86/microcode/amd: Remove load_microcode_amd()'s bsp parameter x86/kprobes: Fix arch_check_optimized_kprobe check within optimized_kprobe range x86/kprobes: Fix __recover_optprobed_insn check optimizing logic x86/reboot: Disable SVM, not just VMX, when stopping CPUs x86/reboot: Disable virtualization in an emergency if SVM is supported x86/crash: Disable virt in core NMI crash handler to avoid double shootdown x86/virt: Force GIF=1 prior to disabling SVM (for reboot flows) selftests: x86: Fix incorrect kernel headers search path KVM: SVM: hyper-v: placate modpost section mismatch error KVM: SVM: Fix potential overflow in SEV's send|receive_update_data() KVM: x86: Inject #GP on x2APIC WRMSR that sets reserved bits 63:32 KVM: x86: Inject #GP if WRMSR sets reserved bits in APIC Self-IPI KVM: SVM: Don't put/load AVIC when setting virtual APIC mode KVM: SVM: Process ICR on AVIC IPI delivery failure due to invalid target KVM: SVM: Flush the "current" TLB when activating AVIC KVM: x86: Don't inhibit APICv/AVIC if xAPIC ID mismatch is due to 32-bit ID KVM: x86: Don't inhibit APICv/AVIC on xAPIC ID "change" if APIC is disabled KVM: x86: Blindly get current x2APIC reg value on "nodecode write" traps KVM: x86: Purge "highest ISR" cache when updating APICv state KVM: Register /dev/kvm as the _very_ last thing during initialization KVM: VMX: Fix crash due to uninitialized current_vmcs KVM: Destroy target device if coalesced MMIO unregistration fails RDMA/siw: Fix user page pinning accounting md: don't update recovery_cp when curr_resync is ACTIVE udf: Fix file corruption when appending just after end of preallocated extent udf: Detect system inodes linked into directory hierarchy udf: Preserve link count of system files udf: Do not update file length for failed writes to inline files udf: Do not bother merging very long extents udf: Truncate added extents on failed expansion selftests/landlock: Test ptrace as much as possible with Yama selftests/landlock: Skip overlayfs tests when not supported fs/cramfs/inode.c: initialize file_ra_state ocfs2: fix non-auto defrag path not working issue ocfs2: fix defrag path triggering jbd2 ASSERT f2fs: fix kernel crash due to null io->bio f2fs: fix cgroup writeback accounting with fs-layer encryption f2fs: retry to update the inode page given data corruption f2fs: fix information leak in f2fs_move_inline_dirents() fs: dlm: send FIN ack back in right cases fs: dlm: move sending fin message into state change handling fs: dlm: don't set stop rx flag after node reset exfat: fix inode->i_blocks for non-512 byte sector size device exfat: redefine DIR_DELETED as the bad cluster number exfat: fix unexpected EOF while reading dir exfat: fix reporting fs error when reading dir beyond EOF fs: hfsplus: fix UAF issue in hfsplus_put_super hfs: fix missing hfs_bnode_get() in __hfs_bnode_create io_uring: mark task TASK_RUNNING before handling resume/task work ARM: dts: exynos: correct HDMI phy compatible in Exynos4 torture: Fix hang during kthread shutdown phase ksmbd: fix possible memory leak in smb2_lock() ksmbd: do not allow the actual frame length to be smaller than the rfc1002 length ksmbd: fix wrong data area length for smb2 lock request locking/rwsem: Prevent non-first waiter from spinning in down_write() slowpath btrfs: hold block group refcount during async discard scsi: mpi3mr: Remove unnecessary memcpy() to alltgt_info->dmi scsi: mpi3mr: Fix issues in mpi3mr_get_all_tgt_info() scsi: mpi3mr: Fix missing mrioc->evtack_cmds initialization cifs: return a single-use cfid if we did not get a lease cifs: Check the lease context if we actually got a lease cifs: don't try to use rdma offload on encrypted connections cifs: split out smb3_use_rdma_offload() helper cifs: introduce cifs_io_parms in smb2_async_writev() cifs: fix mount on old smb servers cifs: Fix uninitialized memory reads for oparms.mode cifs: Fix uninitialized memory read in smb3_qfs_tcon() KVM: s390: disable migration mode when dirty tracking is disabled s390/kprobes: fix current_kprobe never cleared after kprobes reenter s390/kprobes: fix irq mask clobbering on kprobe reenter from post_handler s390: discard .interp section s390/extmem: return correct segment type in __segment_load() io_uring: fix fget leak when fs don't support nowait buffered read io_uring: remove MSG_NOSIGNAL from recvmsg io_uring/rsrc: disallow multi-source reg buffers io_uring: add reschedule point to handle_tw_list() io_uring: add a conditional reschedule to the IOPOLL cancelation loop io_uring: handle TIF_NOTIFY_RESUME when checking for task_work io_uring: use user visible tail in io_uring_poll() io_uring: Replace 0-length array with flexible array ipmi_ssif: Rename idle state and check ipmi:ssif: resend_msg() cannot fail ipmi: ipmb: Fix the MODULE_PARM_DESC associated to 'retry_time_ms' rtc: pm8xxx: fix set-alarm race block: be a bit more careful in checking for NULL bdev while polling block: clear bio->bi_bdev when putting a bio back in the cache block: don't allow multiple bios for IOCB_NOWAIT issue firmware: coreboot: framebuffer: Ignore reserved pixel color bits scsi: mpt3sas: Remove usage of dma_get_required_mask() API wifi: rtl8xxxu: fixing transmisison failure for rtl8192eu driver core: fw_devlink: Avoid spurious error message drm/shmem-helper: Revert accidental non-GPL export cifs: prevent data race in smb2_reconnect() nfsd: don't hand out delegation on setuid files being opened for write nfsd: zero out pointers after putting nfsd_files on COPY setup error dm cache: add cond_resched() to various workqueue loops dm thin: add cond_resched() to various workqueue loops drm/amd/display: Disable HUBP/DPP PG on DCN314 for now drm: panel-orientation-quirks: Add quirk for Lenovo IdeaPad Duet 3 10IGL5 drm/amd/display: Enable P-state validation checks for DCN314 HID: logitech-hidpp: Don't restart communication if not necessary scsi: ufs: core: Fix device management cmd timeout flow scsi: snic: Fix memory leak with using debugfs_lookup() drm/amd/display: Do not commit pipe when updating DRR pinctrl: at91: use devm_kasprintf() to avoid potential leaks hwmon: (nct6775) B650/B660/X670 ASUS boards support hwmon: (nct6775) Directly call ASUS ACPI WMI method hwmon: (coretemp) Simplify platform device handling gfs2: Improve gfs2_make_fs_rw error handling drm/amd/display: fix FCLK pstate change underflow Revert "drm/amdgpu: TA unload messages are not actually sent to psp when amdgpu is uninstalled" regulator: s5m8767: Bounds check id indexing into arrays regulator: max77802: Bounds check regulator id against opmode ASoC: kirkwood: Iterate over array indexes instead of using pointer math ASoC: soc-compress: Reposition and add pcm_mutex drm/msm/dpu: Add DSC hardware blocks to register snapshot docs/scripts/gdb: add necessary make scripts_gdb step habanalabs: fix bug in timestamps registration code habanalabs: extend fatal messages to contain PCI info drm/amd/display: Set hvm_enabled flag for S/G mode drm/drm_print: correct format problem drm: rcar-du: Fix setting a reserved bit in DPLLCR drm: rcar-du: Add quirk for H3 ES1.x pclk workaround drm/msm/dsi: Add missing check for alloc_ordered_workqueue HID: uclogic: Add support for XP-PEN Deco Pro MW HID: uclogic: Add support for XP-PEN Deco Pro SW HID: uclogic: Add battery quirk HID: uclogic: Add frame type quirk drm/amd/display: fix mapping to non-allocated address drm: amd: display: Fix memory leakage drm/amd: Avoid ASSERT for some message failures Revert "fbcon: don't lose the console font across generic->chip driver switch" scsi: lpfc: Fix use-after-free KFENCE violation during sysfs firmware write drm/amdkfd: Page aligned memory reserve size drm/amd: Avoid BUG() for case of SRIOV missing IP version drm/radeon: free iio for atombios when driver shutdown drm/amd/display: Defer DIG FIFO disable after VID stream enable drm/tiny: ili9486: Do not assume 8-bit only SPI controllers HID: Add Mapping for System Microphone Mute drm/omap: dsi: Fix excessive stack usage drm/amd/display: Fix potential null-deref in dm_resume drm/amd/display: Revert Reduce delay when sink device not able to ACK 00340h write drm/amd/display: Reduce expected sdp bandwidth for dcn321 drm: panel-orientation-quirks: Add quirk for DynaBook K50 drm: panel-orientation-quirks: Add quirk for Lenovo Yoga Tab 3 X90F scm: add user copy checks to put_cmsg() devlink: Fix TP_STRUCT_entry in trace of devlink health report s390/kfence: fix page fault reporting hv_netvsc: Check status in SEND_RNDIS_PKT completion message wifi: rtw89: debug: avoid invalid access on RTW89_DBG_SEL_MAC_30 Bluetooth: btusb: Add VID:PID 13d3:3529 for Realtek RTL8821CE Bluetooth: btusb: Add new PID/VID 0489:e0f2 for MT7921 Bluetooth: Fix issue with Actions Semi ATS2851 based devices PM: EM: fix memory leak with using debugfs_lookup() PM: domains: fix memory leak with using debugfs_lookup() time/debug: Fix memory leak with using debugfs_lookup() s390/idle: mark arch_cpu_idle() noinstr uaccess: Add minimum bounds check on kernel buffer size coda: Avoid partial allocation of sig_inputArgs net/mlx5: fw_tracer: Fix debug print ACPI: video: Fix Lenovo Ideapad Z570 DMI match wifi: mt76: dma: free rx_head in mt76_dma_rx_cleanup tools/power/x86/intel-speed-select: Add Emerald Rapid quirk gcc-plugins: drop -std=gnu++11 to fix GCC 13 build can: isotp: check CAN address family in isotp_bind() netfilter: nf_tables: NULL pointer dereference in nf_tables_updobj() s390/mm,ptdump: avoid Kasan vs Memcpy Real markers swapping m68k: Check syscall_trace_enter() return code net: bcmgenet: Add a check for oversized packets crypto: hisilicon: Wipe entire pool on error clocksource: Suspend the watchdog temporarily when high read latency detected thermal: intel: intel_pch: Add support for Wellsburg PCH bpf, docs: Fix modulo zero, division by zero, overflow, and underflow ACPI: Don't build ACPICA with '-Os' ice: add missing checks for PF vsi type ice: restrict PTP HW clock freq adjustments to 100, 000, 000 PPB inet: fix fast path in __inet_hash_connect() wifi: mt7601u: fix an integer underflow wifi: brcmfmac: ensure CLM version is null-terminated to prevent stack-out-of-bounds bpftool: Always disable stack protection for BPF objects x86/bugs: Reset speculation control settings on init timers: Prevent union confusion from unexpected restart_syscall() thermal: intel: Fix unsigned comparison with less than zero wifi: ath11k: debugfs: fix to work with multiple PCI devices rcu-tasks: Handle queue-shrink/callback-enqueue race condition rcu-tasks: Make rude RCU-Tasks work well with CPU hotplug srcu: Delegate work to the boot cpu if using SRCU_SIZE_SMALL rcu: Suppress smp_processor_id() complaint in synchronize_rcu_expedited_wait() rcu: Make RCU_LOCKDEP_WARN() avoid early lockdep checks wifi: brcmfmac: Fix potential stack-out-of-bounds in brcmf_c_preinit_dcmds() wifi: ath11k: fix monitor mode bringup crash wifi: ath9k: Fix use-after-free in ath9k_hif_usb_disconnect() perf/x86/intel/uncore: Add Meteor Lake support cpuidle: lib/bug: Disable rcu_is_watching() during WARN/BUG cpuidle: drivers: firmware: psci: Dont instrument suspend code x86/fpu: Don't set TIF_NEED_FPU_LOAD for PF_IO_WORKER threads cpuidle, intel_idle: Fix CPUIDLE_FLAG_INIT_XSTATE arm64: zynqmp: Enable hs termination flag for USB dwc3 controller btrfs: scrub: improve tree block error reporting trace/blktrace: fix memory leak with using debugfs_lookup() blk-cgroup: synchronize pd_free_fn() from blkg_free_workfn() and blkcg_deactivate_policy() blk-cgroup: dropping parent refcount after pd_free_fn() is done blk-iocost: fix divide by 0 error in calc_lcoefs() fs: Use CHECK_DATA_CORRUPTION() when kernel bugs are detected ARM: dts: exynos: Use Exynos5420 compatible for the MIPI video phy exit: Detect and fix irq disabled state in oops context_tracking: Fix noinstr vs KASAN udf: Define EFSCORRUPTED error code arm64: dts: qcom: msm8996: Add additional A2NoC clocks ARM: OMAP2+: omap4-common: Fix refcount leak bug rpmsg: glink: Release driver_override rpmsg: glink: Avoid infinite loop on intent for missing channel media: saa7134: Use video_unregister_device for radio_dev media: usb: siano: Fix use after free bugs caused by do_submit_urb media: i2c: ov7670: 0 instead of -EINVAL was returned media: atomisp: Only set default_run_mode on first open of a stream/asd media: rc: Fix use-after-free bugs caused by ene_tx_irqsim() media: drivers/media/v4l2-core/v4l2-h264 : add detection of null pointers media: amphion: correct the unspecified color space media: imx-jpeg: Apply clk_bulk api instead of operating specific clk media: hantro: Fix JPEG encoder ENUM_FRMSIZE on RK3399 media: v4l2-jpeg: ignore the unknown APP14 marker media: v4l2-jpeg: correct the skip count in jpeg_parse_app14_data media: platform: mtk-mdp3: fix Kconfig dependencies media: platform: mtk-mdp3: remove unused VIDEO_MEDIATEK_VPU config media: camss: csiphy-3ph: avoid undefined behavior media: platform: mtk-mdp3: Fix return value check in mdp_probe() media: i2c: imx219: Fix binning for RAW8 capture media: i2c: imx219: Split common registers from mode tables media: i2c: ov772x: Fix memleak in ov772x_probe() media: mc: Get media_device directly from pad media: ov5640: Handle delays when no reset_gpio set media: ov5640: Fix soft reset sequence and timings media: ov5675: Fix memleak in ov5675_init_controls() media: ov2740: Fix memleak in ov2740_init_controls() media: max9286: Fix memleak in max9286_v4l2_register() builddeb: clean generated package content s390/vdso: Drop '-shared' from KBUILD_CFLAGS_64 powerpc: Remove linker flag from KBUILD_AFLAGS media: imx: imx7-media-csi: fix missing clk_disable_unprepare() in imx7_csi_init() media: platform: ti: Add missing check for devm_regulator_get media: ti: cal: fix possible memory leak in cal_ctx_create() remoteproc: qcom_q6v5_mss: Use a carveout to authenticate modem headers Revert "remoteproc: qcom_q6v5_mss: map/unmap metadata region before/after use" IB/hfi1: Fix sdma.h tx->num_descs off-by-one errors IB/hfi1: Fix math bugs in hfi1_can_pin_pages() RDMA/rxe: Fix missing memory barriers in rxe_queue.h RDMA/rxe: cleanup some error handling in rxe_verbs.c iommu/vt-d: Allow to use flush-queue when first level is default iommu/vt-d: Fix error handling in sva enable/disable paths dmaengine: ptdma: check for null desc before calling pt_cmd_callback dmaengine: dw-axi-dmac: Do not dereference NULL structure dmaengine: sf-pdma: pdma_desc memory leak fix iommu/amd: Do not identity map v2 capable device when snp is enabled iommu: Fix error unwind in iommu_group_alloc() iw_cxgb4: Fix potential NULL dereference in c4iw_fill_res_cm_id_entry() PCI: qcom: Fix host-init error handling phy: rockchip-typec: fix tcphy_get_mode error case PCI: Fix dropping valid root bus resources with .end = zero dmaengine: dw-edma: Fix readq_ch() return value truncation usb: host: fsl-mph-dr-of: reuse device_set_of_node_from_dev mtd: mtdpart: Don't create platform device that'll never probe driver core: fw_devlink: Make cycle detection more robust driver core: fw_devlink: Improve check for fwnode with no device/driver driver core: fw_devlink: Consolidate device link flag computation driver core: fw_devlink: Allow marking a fwnode link as being part of a cycle driver core: fw_devlink: Don't purge child fwnode's consumer links driver core: fw_devlink: Add DL_FLAG_CYCLE support to device links tty: serial: imx: disable Ageing Timer interrupt request irq tty: serial: imx: Handle RS485 DE signal active high serial: fsl_lpuart: fix RS485 RTS polariy inverse issue RDMA/irdma: Cap MSIX used to online CPUs + 1 usb: max-3421: Fix setting of I/O pins RDMA/cxgb4: Fix potential null-ptr-deref in pass_establish() power: supply: remove faulty cooling logic iommu/vt-d: Set No Execute Enable bit in PASID table entry iommu/dart: Fix apple_dart_device_group for PCI groups iommu: dart: Support >64 stream IDs iommu: dart: Add suspend/resume support PCI: mt7621: Delay phy ports initialization phy: mediatek: remove temporary variable @mask_ usb: gadget: configfs: Restrict symlink creation is UDC already binded usb: musb: mediatek: don't unregister something that wasn't registered RDMA/cxgb4: add null-ptr-check after ip_dev_find() tty: serial: fsl_lpuart: Fix the wrong RXWATER setting for rx dma case usb: early: xhci-dbc: Fix a potential out-of-bound memory access fpga: microchip-spi: rewrite status polling in a time measurable way fpga: microchip-spi: move SPI I/O buffers out of stack dmaengine: dw-edma: Fix missing src/dst address of interleaved xfers fotg210-udc: Add missing completion handler firmware: dmi-sysfs: Fix null-ptr-deref in dmi_sysfs_register_handle drivers: base: transport_class: fix resource leak when transport_add_device() fails drivers: base: transport_class: fix possible memory leak driver core: location: Free struct acpi_pld_info *pld before return false driver core: fix resource leak in device_add() misc: fastrpc: Fix an error handling path in fastrpc_rpmsg_probe() misc/mei/hdcp: Use correct macros to initialize uuid_le mei: pxp: Use correct macros to initialize uuid_le VMCI: check context->notify_page after call to get_user_pages_fast() to avoid GPF firmware: stratix10-svc: fix error handle while alloc/add device failed firmware: stratix10-svc: add missing gen_pool_destroy() in stratix10_svc_drv_probe() applicom: Fix PCI device refcount leak in applicom_init() eeprom: idt_89hpesx: Fix error handling in idt_init() Revert "char: pcmcia: cm4000_cs: Replace mdelay with usleep_range in set_protocol" serial: tegra: Add missing clk_disable_unprepare() in tegra_uart_hw_init() tty: serial: fsl_lpuart: clear LPUART Status Register in lpuart32_shutdown() tty: serial: fsl_lpuart: disable Rx/Tx DMA in lpuart32_shutdown() hwtracing: hisi_ptt: Only add the supported devices to the filters list PCI: endpoint: pci-epf-vntb: Add epf_ntb_mw_bar_clear() num_mws kernel-doc PCI: endpoint: pci-epf-vntb: Clean up kernel_doc warning PCI: switchtec: Return -EFAULT for copy_to_user() errors PCI/IOV: Enlarge virtfn sysfs name buffer usb: typec: intel_pmc_mux: Don't leak the ACPI device reference count coresight: cti: Add PM runtime call in enable_store coresight: cti: Prevent negative values of enable count coresight: etm4x: Fix accesses to TRCSEQRSTEVR and TRCSEQSTR media: uvcvideo: Refactor power_line_frequency_controls_limited media: uvcvideo: Refactor uvc_ctrl_mappings_uvcXX media: uvcvideo: Implement mask for V4L2_CTRL_TYPE_MENU media: uvcvideo: Check for INACTIVE in uvc_ctrl_is_accessible() alpha/boot/tools/objstrip: fix the check for ELF header kobject: Fix slab-out-of-bounds in fill_kobj_path() kobject: modify kobject_get_path() to take a const * driver core: fix potential null-ptr-deref in device_add() soundwire: cadence: Don't overflow the command FIFOs i2c: designware: fix i2c_dw_clk_rate() return size to be u32 usb: gadget: fusb300_udc: free irq on the error path in fusb300_probe() iio: light: tsl2563: Do not hardcode interrupt trigger type RDMA/hns: Fix refcount leak in hns_roce_mmap dmaengine: HISI_DMA should depend on ARCH_HISI RDMA/erdma: Fix refcount leak in erdma_mmap dmaengine: idxd: Set traffic class values in GRPCFG on DSA 2.0 mfd: pcf50633-adc: Fix potential memleak in pcf50633_adc_async_read() mfd: cs5535: Don't build on UML objtool: add UACCESS exceptions for __tsan_volatile_read/write perf tests stat_all_metrics: Change true workload to sleep workload for system wide check printf: fix errname.c list perf record: Fix segfault with --overwrite and --max-size selftests: use printf instead of echo -ne selftests/ftrace: Fix bash specific "==" operator selftests: find echo binary to use -ne options sparc: allow PM configs for sparc32 COMPILE_TEST perf tools: Fix auto-complete on aarch64 perf test bpf: Skip test if kernel-debuginfo is not present perf intel-pt: Do not try to queue auxtrace data on pipe perf inject: Use perf_data__read() for auxtrace tools/tracing/rtla: osnoise_hist: use total duration for average calculation leds: simatic-ipc-leds-gpio: Make sure we have the GPIO providing driver leds: is31fl319x: Wrap mutex_destroy() for devm_add_action_or_rest() leds: led-core: Fix refcount leak in of_led_get() perf llvm: Fix inadvertent file creation gfs2: jdata writepage fix cifs: use tcon allocation functions even for dummy tcon cifs: Fix warning and UAF when destroy the MR list cifs: Fix lost destroy smbd connection when MR allocate failed NFSD: copy the whole verifier in nfsd_copy_write_verifier nfsd: don't fsync nfsd_files on last close nfsd: fix courtesy client with deny mode handling in nfs4_upgrade_open NFSD: fix problems with cleanup on errors in nfsd4_copy nfsd: clean up potential nfsd_file refcount leaks in COPY codepath nfsd: fix race to check ls_layouts NFSD: fix leaked reference count of nfsd4_ssc_umount_item NFSD: enhance inter-server copy cleanup drm/shmem-helper: Fix locking for drm_gem_shmem_get_pages_sgt() ALSA: hda/hdmi: Register with vga_switcheroo on Dual GPU Macbooks hid: bigben_probe(): validate report count HID: bigben: use spinlock to safely schedule workers HID: bigben_worker() remove unneeded check on report_field HID: bigben: use spinlock to protect concurrent accesses ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared spi: synquacer: Fix timeout handling in synquacer_spi_transfer_one() NFS: fix disabling of swap nfs4trace: fix state manager flag printing dm: remove flush_scheduled_work() during local_exit() ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init hwmon: (mlxreg-fan) Return zero speed for broken fan spi: bcm63xx-hsspi: Fix multi-bit mode setting HID: logitech-hidpp: Hard-code HID++ 1.0 fast scroll support drm/amd/display: don't call dc_interrupt_set() for disabled crtcs ASoC: codecs: lpass: fix incorrect mclk rate ASoC: codecs: lpass: register mclk after runtime pm ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared HID: retain initial quirks set up when creating HID devices HID: multitouch: Add quirks for flipped axes scsi: aic94xx: Add missing check for dma_map_single() scsi: mpt3sas: Fix a memory leak drm/amdgpu: fix enum odm_combine_mode mismatch ALSA: hda: Fix the control element identification for multiple codecs hwmon: (ltc2945) Handle error case in ltc2945_value_store hwmon: (asus-ec-sensors) add missing mutex path regulator: tps65219: use generic set_bypass() ASoC: dt-bindings: meson: fix gx-card codec node regex ASoC: mchp-spdifrx: Fix uninitialized use of mr in mchp_spdifrx_hw_params() ASoC: rsnd: fixup #endif position regmap: apply reg_base and reg_downshift for single register ops dm: improve shrinker debug names ASoC: mchp-spdifrx: disable all interrupts in mchp_spdifrx_dai_remove() ASoC: mchp-spdifrx: fix controls that works with completion mechanism ASoC: mchp-spdifrx: fix return value in case completion times out ASoC: mchp-spdifrx: fix controls which rely on rsr register spi: dw_bt1: fix MUX_MMIO dependencies ASoC: topology: Properly access value coming from topology file gpio: vf610: connect GPIO label to dev name dt-bindings: display: mediatek: Fix the fallback for mediatek,mt8186-disp-ccorr ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() drm/mediatek: Clean dangling pointer on bind error path drm/mediatek: mtk_drm_crtc: Add checks for devm_kcalloc drm/mediatek: Drop unbalanced obj unref drm/mediatek: Use NULL instead of 0 for NULL pointer drm/mediatek: dsi: Reduce the time of dsi from LP11 to sending cmd drm/msm/dpu: set pdpu->is_rt_pipe early in dpu_plane_sspp_atomic_update() pinctrl: renesas: rzg2l: Fix configuring the GPIO pins as interrupts drm/tegra: firewall: Check for is_addr_reg existence in IMM check gpu: host1x: Don't skip assigning syncpoints to channels gpu: host1x: Fix mask for syncpoint increment register pinctrl: mediatek: Initialize variable *buf to zero pinctrl: mediatek: Initialize variable pullen and pullup to zero pinctrl: bcm2835: Remove of_node_put() in bcm2835_of_gpio_ranges_fallback() habanalabs: bugs fixes in timestamps buff alloc drm/msm/mdp5: Add check for kzalloc drm/msm/dpu: Add check for pstates drm/msm/dpu: Add check for cstate drm/msm: use strscpy instead of strncpy drm/msm/dpu: sc7180: add missing WB2 clock control scsi: ufs: exynos: Fix DMA alignment for PAGE_SIZE != 4096 drm/msm/dsi: Allow 2 CTRLs on v2.5.0 drm: exynos: dsi: Fix MIPI_DSI*_NO_* mode flags drm/mipi-dsi: Fix byte order of 16-bit DCS set/get brightness regulator: tps65219: use IS_ERR() to detect an error pointer drm/bridge: lt9611: pass a pointer to the of node drm/bridge: lt9611: fix clock calculation drm/bridge: lt9611: fix programming of video modes drm/bridge: lt9611: fix polarity programming drm/bridge: lt9611: fix HPD reenablement drm/bridge: lt9611: fix sleep mode setup drm/msm/dpu: Disallow unallocated resources to be returned drm/msm/gem: Add check for kmalloc drm/amdgpu: Use the sched from entity for amdgpu_cs trace ALSA: hda/ca0132: minor fix for allocation size drm/msm/adreno: Fix null ptr access in adreno_gpu_cleanup() drm/bridge: tc358767: Set default CLRSIPO count ASoC: fsl_sai: initialize is_dsp_mode flag scsi: qla2xxx: edif: Fix clang warning scsi: qla2xxx: Fix exchange oversubscription for management commands scsi: qla2xxx: Fix exchange oversubscription drm/panel-edp: fix name for IVO product id 854b drm/msm: clean event_thread->worker in case of an error drm/vc4: hdmi: Correct interlaced timings again drm/vc4: hvs: Fix colour order for xRGB1555 on HVS5 drm/vc4: hvs: Correct interrupt masking bit assignment for HVS5 drm/vc4: hvs: SCALER_DISPBKGND_AUTOHS is only valid on HVS4 drm/vc4: hvs: Set AXI panic modes pinctrl: rockchip: Fix refcount leak in rockchip_pinctrl_parse_groups pinctrl: stm32: Fix refcount leak in stm32_pctrl_get_irq_domain pinctrl: qcom: pinctrl-msm8976: Correct function names for wcss pins drm/msm/hdmi: Add missing check for alloc_ordered_workqueue drm/msm/dpu: check for null return of devm_kzalloc() in dpu_writeback_init() hwmon: (ftsteutates) Fix scaling of measurements drm/vc4: drop all currently held locks if deadlock happens gpu: ipu-v3: common: Add of_node_put() for reference returned by of_graph_get_port_by_id() drm: tidss: Fix pixel format definition drm/vc4: dpi: Fix format mapping for RGB565 drm/vkms: Fix null-ptr-deref in vkms_release() drm/vkms: Fix memory leak in vkms_init() drm/bridge: megachips: Fix error handling in i2c_register_driver() drm: mxsfb: DRM_MXSFB should depend on ARCH_MXS || ARCH_MXC drm: mxsfb: DRM_IMX_LCDIF should depend on ARCH_MXC drm/bridge: ti-sn65dsi83: Fix delay after reset deassert to match spec drm/fourcc: Add missing big-endian XRGB1555 and RGB565 formats drm: Fix potential null-ptr-deref due to drmm_mode_config_init() sefltests: netdevsim: wait for devlink instance after netns removal selftest: fib_tests: Always cleanup before exit net/mlx4_en: Introduce flexible array to silence overflow warning net: lan966x: Fix possible deadlock inside PTP net: bcmgenet: fix MoCA LED control l2tp: Avoid possible recursive deadlock in l2tp_tunnel_register() selftests/net: Interpret UDP_GRO cmsg data as an int value net/smc: fix application data exception net/smc: fix potential panic dues to unprotected smc_llc_srv_add_link() irqchip/irq-bcm7120-l2: Set IRQ_LEVEL for level triggered interrupts irqchip/irq-brcmstb-l2: Set IRQ_LEVEL for level triggered interrupts bpf: Fix global subprog context argument resolution logic LoongArch, bpf: Use 4 instructions for function address in JIT xsk: check IFF_UP earlier in Tx path can: esd_usb: Make use of can_change_state() and relocate checking skb for NULL can: esd_usb: Move mislocated storage of SJA1000_ECC_SEG bits in case of a bus error selftests/bpf: Fix xdp_do_redirect on s390x bpf: Zeroing allocated object from slab in bpf memory allocator wifi: mac80211: pass 'sta' to ieee80211_rx_data_set_sta() selftests/bpf: Fix map_kptr test. thermal/drivers/hisi: Drop second sensor hi3660 tools/lib/thermal: Fix thermal_sampling_exit() wifi: mac80211: fix off-by-one link setting wifi: mac80211: avoid u32_encode_bits() warning wifi: mac80211: Don't translate MLD addresses for multicast wifi: mac80211: fix non-MLO station association wifi: mac80211: make rate u32 in sta_set_rate_info_rx() wifi: mac80211: move color collision detection report in a delayed work crypto: crypto4xx - Call dma_unmap_page when done crypto: octeontx2 - Fix objects shared between several modules ACPI: resource: Do IRQ override on all TongFang GMxRGxx ACPI: resource: Add IRQ overrides for MAINGEAR Vector Pro 2 models selftests/bpf: Fix out-of-srctree build wifi: mwifiex: fix loop iterator in mwifiex_update_ampdu_txwinsize() wifi: iwl4965: Add missing check for create_singlethread_workqueue() wifi: iwl3945: Add missing check for create_singlethread_workqueue clocksource/drivers/riscv: Patch riscv_clock_next_event() jump before first use RISC-V: time: initialize hrtimer based broadcast clock event device m68k: /proc/hardware should depend on PROC_FS crypto: rsa-pkcs1pad - Use akcipher_request_complete rds: rds_rm_zerocopy_callback() correct order for list_add_tail() xen/grant-dma-iommu: Implement a dummy probe_device() callback libbpf: Fix alen calculation in libbpf_nla_dump_errormsg() s390/ap: fix status returned by ap_qact() s390/ap: fix status returned by ap_aqic() s390: vfio-ap: tighten the NIB validity check net: ipa: generic command param fix Bluetooth: hci_qca: get wakeup status from serdev device handle Bluetooth: L2CAP: Fix potential user-after-free Bluetooth: hci_conn: Refactor hci_bind_bis() since it always succeeds cpufreq: davinci: Fix clk use after free OPP: fix error checking in opp_migrate_dentry() tap: tap_open(): correctly initialize socket uid tun: tun_chr_open(): correctly initialize socket uid net: add sock_init_data_uid() s390/boot: fix mem_detect extended area allocation s390/mem_detect: rely on diag260() if sclp_early_get_memsize() fails s390/boot: cleanup decompressor header files s390/vmem: fix empty page tables cleanup under KASAN s390/mem_detect: fix detect_memory() error handling irqchip/ti-sci: Fix refcount leak in ti_sci_intr_irq_domain_probe irqchip/irq-mvebu-gicp: Fix refcount leak in mvebu_gicp_probe irqchip/alpine-msi: Fix refcount leak in alpine_msix_init_domains irqchip: Fix refcount leak in platform_irqchip_probe net/mlx5: Enhance debug print in page allocation failure wifi: mt76: mt7921: fix error code of return in mt7921_acpi_read wifi: mt76: add memory barrier to SDIO queue kick wifi: mt76: mt7915: fix WED TxS reporting wifi: mt76: mt7915: fix memory leak in mt7915_mcu_exit wifi: mt76: mt7915: call mt7915_mcu_set_thermal_throttling() only after init_work bpftool: profile online CPUs instead of possible crypto: ccp - Flush the SEV-ES TMR memory before giving it to firmware selftests/bpf: Initialize tc in xdp_synproxy can: rcar_canfd: Fix R-Car V3U GAFLCFG field accesses kselftest/arm64: Fix enumeration of systems without 128 bit SME wifi: iwlwifi: mei: fix compilation errors in rfkill() s390/bpf: Add expoline to tail calls leds: led-class: Add missing put_device() to led_put() crypto: xts - Handle EBUSY correctly selftests/bpf: Fix vmtest static compilation error selftests/bpf: Use consistent build-id type for liburandom_read.so x86/microcode: Adjust late loading result reporting message x86/microcode: Check CPU capabilities after late microcode update correctly x86/microcode: Add a parameter to microcode_check() to store CPU capabilities powercap: fix possible name leak in powercap_register_zone() crypto: seqiv - Handle EBUSY correctly crypto: essiv - Handle EBUSY correctly crypto: ccp - Failure on re-initialization due to duplicate sysfs filename selftests/bpf: Fix build errors if CONFIG_NF_CONNTRACK=m ACPI: battery: Fix missing NUL-termination with large strings wifi: cfg80211: Fix extended KCK key length check in nl80211_set_rekey_data() wifi: ath11k: Fix memory leak in ath11k_peer_rx_frag_setup wifi: ath9k: Fix potential stack-out-of-bounds write in ath9k_wmi_rsp_callback() wifi: ath9k: hif_usb: clean up skbs if ath9k_hif_usb_rx_stream() fails wifi: ath9k: htc_hst: free skb in ath9k_htc_rx_msg() if there is no callback function thermal/drivers/imx_sc_thermal: Fix the loop condition thermal/drivers/imx_sc_thermal: Drop empty platform remove function wifi: orinoco: check return value of hermes_write_wordrec() wifi: rtl8xxxu: Fix memory leaks with RTL8723BU, RTL8192EU wifi: rtw89: Add missing check for alloc_workqueue wifi: rtw89: fix potential leak in rtw89_append_probe_req_ie() thermal/drivers/tsens: limit num_sensors to 9 for msm8939 thermal/drivers/tsens: fix slope values for msm8939 thermal/drivers/tsens: Sort out msm8976 vs msm8956 data thermal/drivers/tsens: Drop msm8976-specific defines x86/signal: Fix the value returned by strict_sas_size() s390/vfio-ap: fix an error handling path in vfio_ap_mdev_probe_queue() s390/early: fix sclp_early_sccb variable lifetime workqueue: Protects wq_unbound_cpumask with wq_pool_attach_mutex kselftest/arm64: Fix syscall-abi for systems without 128 bit SME arm64/cpufeature: Fix field sign for DIT hwcap detection selftests/xsk: print correct error codes when exiting selftests/xsk: print correct payload for packet dump ACPICA: nsrepair: handle cases without a return value correctly platform/chrome: cros_ec_typec: Update port DP VDO crypto: ccp - Avoid page allocation failure warning for SEV_GET_ID2 lib/mpi: Fix buffer overrun when SG is too long rcu-tasks: Fix synchronize_rcu_tasks() VS zap_pid_ns_processes() rcu-tasks: Remove preemption disablement around srcu_read_[un]lock() calls rcu-tasks: Improve comments explaining tasks_rcu_exit_srcu purpose genirq: Fix the return type of kstat_cpu_irqs_sum() ACPICA: Drop port I/O validation for some regions crypto: x86/ghash - fix unaligned access in ghash_setkey() libbpf: Fix invalid return address register in s390 wifi: wl3501_cs: don't call kfree_skb() under spin_lock_irqsave() wifi: libertas: cmdresp: don't call kfree_skb() under spin_lock_irqsave() wifi: libertas: main: don't call kfree_skb() under spin_lock_irqsave() wifi: libertas: if_usb: don't call kfree_skb() under spin_lock_irqsave() wifi: libertas_tf: don't call kfree_skb() under spin_lock_irqsave() wifi: brcmfmac: unmap dma buffer in brcmf_msgbuf_alloc_pktid() wifi: brcmfmac: fix potential memory leak in brcmf_netdev_start_xmit() wifi: wilc1000: add missing unregister_netdev() in wilc_netdev_ifc_init() wifi: wilc1000: fix potential memory leak in wilc_mac_xmit() wifi: ipw2200: fix memory leak in ipw_wdev_init() wifi: ipw2x00: don't call dev_kfree_skb() under spin_lock_irqsave() libbpf: Fix btf__align_of() by taking into account field offsets wifi: rtlwifi: Fix global-out-of-bounds bug in _rtl8812ae_phy_set_txpower_limit() wifi: rtw89: 8852c: rfk: correct DPK settings wifi: rtw89: 8852c: rfk: correct DACK setting wifi: rtl8xxxu: don't call dev_kfree_skb() under spin_lock_irqsave() wifi: libertas: fix memory leak in lbs_init_adapter() wifi: iwlegacy: common: don't call dev_kfree_skb() under spin_lock_irqsave() wifi: rtlwifi: rtl8723be: don't call kfree_skb() under spin_lock_irqsave() wifi: rtlwifi: rtl8188ee: don't call kfree_skb() under spin_lock_irqsave() wifi: rtlwifi: rtl8821ae: don't call kfree_skb() under spin_lock_irqsave() wifi: rsi: Fix memory leak in rsi_coex_attach() wifi: mt76: fix coverity uninit_use_in_call in mt76_connac2_reverse_frag0_hdr_trans() wifi: mt76: mt7915: fix unintended sign extension of mt7915_hw_queue_read() wifi: mt76: mt7915: drop always true condition of __mt7915_reg_addr() wifi: mt76: mt7915: check return value before accessing free_block_num wifi: mt76: mt7921s: fix slab-out-of-bounds access in sdio host wifi: mt76: mt7915: add missing of_node_put() block: use proper return value from bio_failfast() block: bio-integrity: Copy flags when bio_integrity_payload is cloned block: Fix io statistics for cgroup in throttle path block: sync mixed merged request's failfast with 1st bio's erofs: relinquish volume with mutex held arm64: dts: qcom: pmk8350: Use the correct PON compatible arm64: dts: qcom: pmk8350: Specify PBS register for PON block: ublk: check IO buffer based on flag need_get_data KEYS: asymmetric: Fix ECDSA use via keyctl uapi x86/perf/zhaoxin: Add stepping check for ZXC perf/x86/intel/ds: Fix the conversion from TSC to perf time sched/rt: pick_next_rt_entity(): check list_entry s390/dasd: Fix potential memleak in dasd_eckd_init() arm64: dts: qcom: msm8992-lg-bullhead: Enable regulators arm64: dts: qcom: msm8992-*: Fix up comments arm64: dts: qcom: msm8953: correct TLMM gpio-ranges arm64: dts: qcom: msm8992-lg-bullhead: Correct memory overlaps with the SMEM and MPSS memory regions arm64: dts: qcom: sm8450: drop incorrect cells from serial arm64: dts: qcom: sm8350: drop incorrect cells from serial arm64: dts: qcom: msm8996 switch from RPM_SMD_BB_CLK1 to RPM_SMD_XO_CLK_SRC arm64: dts: qcom: msm8996: support using GPLL0 as kryocc input blk-mq: correct stale comment of .get_budget blk-mq: Fix potential io hung for shared sbitmap per tagset blk-mq: wait on correct sbitmap_queue in blk_mq_mark_tag_wait blk-mq: remove stale comment for blk_mq_sched_mark_restart_hctx blk-mq: avoid sleep in blk_mq_alloc_request_hctx ARM: dts: stm32: Update part number NVMEM description on stm32mp131 arm64: dts: mediatek: mt7986: Fix watchdog compatible arm64: dts: mediatek: mt8195: Fix watchdog compatible arm64: dts: mediatek: mt8186: Fix watchdog compatible arm64: dts: mediatek: mt7622: Add missing pwm-cells to pwm node arm64: dts: mt8186: Fix CPU map for single-cluster SoC arm64: dts: mt8192: Fix CPU map for single-cluster SoC arm64: dts: mt8195: Fix CPU map for single-cluster SoC sbitmap: correct wake_batch recalculation to avoid potential IO hung sbitmap: Use single per-bitmap counting to wake up queued tags sbitmap: remove redundant check in __sbitmap_queue_get_batch ARM: dts: imx7s: correct iomuxc gpr mux controller cells ublk_drv: don't probe partitions if the ubq daemon isn't trusted ublk_drv: remove nr_aborted_queues from ublk_device ARM: dts: sun8i: nanopi-duo2: Fix regulator GPIO reference arm64: dts: meson: bananapi-m5: switch VDDIO_C pin to OPEN_DRAIN arm64: dts: meson: radxa-zero: allow usb otg mode arm64: dts: renesas: beacon-renesom: Fix gpio expander reference locking/rwsem: Disable preemption in all down_read*() and up_read() code paths arm64: dts: amlogic: meson-sm1-odroid-hc4: fix active fan thermal trip arm64: dts: amlogic: meson-gxbb-kii-pro: fix led node name arm64: dts: amlogic: meson-gxl-s905d-phicomm-n1: fix led node name arm64: dts: amlogic: meson-sm1-bananapi-m5: fix adc keys node names arm64: dts: amlogic: meson-gx-libretech-pc: fix update button name arm64: dts: amlogic: meson-gxl: add missing unit address to eth-phy-mux node name arm64: dts: amlogic: meson-axg-jethome-jethub-j1xx: fix invalid rtc node name arm64: dts: amlogic: meson-gxl-s905w-jethome-jethub-j80: fix invalid rtc node name arm64: dts: amlogic: meson-gx: add missing unit address to rng node name arm64: dts: amlogic: meson-gxl-s905d-sml5442tw: drop invalid clock-names property arm64: dts: amlogic: meson-axg-jethome-jethub-j1xx: fix supply name of USB controller node arm64: dts: amlogic: meson-gx: add missing SCPI sensors compatible arm64: dts: amlogic: meson-axg: fix SCPI clock dvfs node name arm64: dts: amlogic: meson-gx: fix SCPI clock dvfs node name ARM: imx: Call ida_simple_remove() for ida_simple_get ARM: dts: exynos: correct wr-active property in Exynos3250 Rinato arm64: dts: ti: k3-j7200: Fix wakeup pinmux range ARM: s3c: fix s3c64xx_set_timer_source prototype ARM: bcm2835_defconfig: Enable the framebuffer arm64: dts: mediatek: mt8192: Mark scp_adsp clock as broken ARM: OMAP1: call platform_device_put() in error case in omap1_dm_timer_init() arm64: dts: meson: remove CPU opps below 1GHz for G12A boards arm64: dts: qcom: ipq8074: correct PCIe QMP PHY output clock names arm64: dts: qcom: ipq8074: fix Gen3 PCIe node arm64: dts: qcom: ipq8074: correct Gen2 PCIe ranges arm64: dts: qcom: ipq8074: fix Gen3 PCIe QMP PHY arm64: dts: qcom: ipq8074: fix Gen2 PCIe QMP PHY arm64: dts: qcom: ipq8074: correct USB3 QMP PHY-s clock output names arm64: dts: qcom: msm8992-bullhead: Disable dfps_data_mem arm64: dts: qcom: msm8992-bullhead: Fix cont_splash_mem size arm64: dts: msm8992-bullhead: add memory hole region arm64: tegra: Fix duplicate regulator on Jetson TX1 arm64: dts: ti: k3-am62-main: Fix clocks for McSPI arm64: dts: ti: k3-am62: Enable SPI nodes at the board level cpuidle, intel_idle: Fix CPUIDLE_FLAG_IRQ_ENABLE *again* arm64: dts: meson-gx: Fix the SCPI DVFS node name and unit address arm64: dts: meson-g12a: Fix internal Ethernet PHY unit name arm64: dts: meson-gx: Fix Ethernet MAC address unit name arm64: dts: meson-axg: jethub-j1xx: Fix MAC address node names arm64: dts: meson-gxl: jethub-j80: Fix Bluetooth MAC node name arm64: dts: meson-gxl: jethub-j80: Fix WiFi MAC address node arm64: dts: qcom: sc8280xp: Vote for CX in USB controllers arm64: dts: qcom: sc8280xp: correct SPMI bus address cells arm64: dts: qcom: sc7280: correct SPMI bus address cells arm64: dts: qcom: sc7180: correct SPMI bus address cells x86/acpi/boot: Do not register processors that cannot be onlined for x2APIC arm64: dts: qcom: sdm845-db845c: fix audio codec interrupt pin name arm64: dts: mediatek: mt8186: Fix systimer 13 MHz clock description arm64: dts: mediatek: mt8195: Fix systimer 13 MHz clock description arm64: dts: mediatek: mt8192: Fix systimer 13 MHz clock description arm64: dts: mediatek: mt8183: Fix systimer 13 MHz clock description arm64: dts: mediatek: mt8195: Add power domain to U3PHY1 T-PHY ARM: zynq: Fix refcount leak in zynq_early_slcr_init arm64: dts: imx8m: Align SoC unique ID node unit address arm64: dts: qcom: sm6125-seine: Clean up gpio-keys (volume down) arm64: dts: qcom: sm6125: Reorder HSUSB PHY clocks to match bindings arm64: dts: qcom: sm6350: Fix up the ramoops node arm64: dts: qcom: sm8150-kumano: Panel framebuffer is 2.5k instead of 4k arm64: dts: qcom: msm8996-tone: Fix USB taking 6 minutes to wake up arm64: dts: qcom: qcs404: use symbol names for PCIe resets ARM: OMAP2+: Fix memory leak in realtime_counter_init() ata: ahci: Revert "ata: ahci: Add Tiger Lake UP{3,4} AHCI controller" powerpc/mm: Rearrange if-else block to avoid clang warning HID: asus: use spinlock to safely schedule workers HID: asus: use spinlock to protect concurrent accesses Linux 6.1.15 USB: core: Don't hold device lock while reading the "descriptors" sysfs file scripts/tags.sh: fix incompatibility with PCRE2 fs: use consistent setgid checks in is_sxid() attr: use consistent sgid stripping checks attr: add setattr_should_drop_sgid() fs: move should_remove_suid() attr: add in_group_or_capable() drm/amd/display: Properly reuse completion structure usb: typec: pd: Remove usb_suspend_supported sysfs from sink PDO arm64: dts: uniphier: Fix property name in PXs3 USB node usb: gadget: u_serial: Add null pointer check in gserial_resume USB: serial: option: add support for VW/Skoda "Carstick LTE" usb: dwc3: pci: add support for the Intel Meteor Lake-M drm/amd/display: Fix race condition in DPIA AUX transfer drm/amd/display: Move DCN314 DOMAIN power control to DMCUB vc_screen: don't clobber return value in vcs_read net: Remove WARN_ON_ONCE(sk->sk_forward_alloc) from sk_stream_kill_queues(). bpf: bpf_fib_lookup should not return neigh in NUD_FAILED state PM: sleep: Avoid using pr_cont() in the tasks freezing code x86/cpu: Add Lunar Lake M selftests: ocelot: tc_flower_chains: make test_vlan_ingress_modify() more comprehensive HID: Ignore battery for ELAN touchscreen 29DF on HP ASoC: codecs: es8326: Fix DTS properties reading HID: core: Fix deadloop in hid_apply_multiplier. neigh: make sure used and confirmed times are valid ARM: dts: stihxxx-b2120: fix polarity of reset line of tsin0 port ASoC: SOF: amd: Fix for handling spurious interrupts from DSP powerpc: Don't select ARCH_WANTS_NO_INSTR IB/hfi1: Assign npages earlier ASoC: rt715-sdca: fix clock stop prepare timeout issue arm64: dts: rockchip: align rk3399 DMC OPP table with bindings btrfs: send: limit number of clones and allocated memory size pinctrl: amd: Fix debug output for debounce time ACPI: NFIT: fix a potential deadlock during NFIT teardown HID: Ignore battery for Elan touchscreen on Asus TP420IA HID: elecom: add support for TrackBall 056E:011C arm64: dts: rockchip: fix probe of analog sound card on rock-3a arm64: dts: rockchip: add missing #interrupt-cells to rk356x pcie2x1 ARM: dts: rockchip: add power-domains property to dp node on rk3288 arm64: dts: rockchip: drop unused LED mode property from rk3328-roc-cc arm64: dts: rockchip: reduce thermal limits on rk3399-pinephone-pro Fix XFRM-I support for nested ESP tunnels Linux 6.1.14 bpf: add missing header file include randstruct: disable Clang 15 support ext4: Fix function prototype mismatch for ext4_feat_ktype platform/x86: nvidia-wmi-ec-backlight: Add force module parameter platform/x86/amd/pmf: Add depends on CONFIG_POWER_SUPPLY audit: update the mailing list in MAINTAINERS wifi: mwifiex: Add missing compatible string for SD8787 sh: define RUNTIME_DISCARD_EXIT s390: define RUNTIME_DISCARD_EXIT to fix link error with GNU ld < 2.36 powerpc/vmlinux.lds: Don't discard .rela* for relocatable builds powerpc/vmlinux.lds: Define RUNTIME_DISCARD_EXIT arch: fix broken BuildID for arm64 and riscv arm64: remove special treatment for the link order of head.o riscv: remove special treatment for the link order of head.o Bluetooth: btusb: Add more device IDs for WCN6855 x86/static_call: Add support for Jcc tail-calls x86/alternatives: Teach text_poke_bp() to patch Jcc.d32 instructions x86/alternatives: Introduce int3_emulate_jcc() uaccess: Add speculation barrier to copy_from_user() nfp: ethtool: fix the bug of setting unsupported port speed nfp: ethtool: support reporting link modes powerpc/64s/radix: Fix RWX mapping with relocated kernel selftests: kvm: move declaration at the beginning of main() KVM: x86: fix deadlock for KVM_XEN_EVTCHN_RESET drm/i915: Remove __maybe_unused from mtl_info spi: mediatek: Enable irq before the spi registration powerpc: dts: t208x: Disable 10G on MAC1 and MAC2 can: kvaser_usb: hydra: help gcc-13 to figure out cmd_len KVM: VMX: Execute IBPB on emulated VM-exit when guest has IBRS KVM: SVM: Skip WRMSR fastpath on VM-Exit if next RIP isn't valid KVM: x86: Fail emulation during EMULTYPE_SKIP on any exception docs: perf: Fix PMU instance name of hisi-pcie-pmu spi: mediatek: Enable irq when pdata is ready scsi: hisi_sas: Fix SATA devices missing issue during I_T nexus reset scsi: libsas: Add smp_ata_check_ready_type() random: always mix cycle counter in add_latent_entropy() sched/psi: Stop relying on timer_pending() for poll_work rescheduling clk: mxl: syscon_node_to_regmap() returns error pointers powerpc: dts: t208x: Mark MAC1 and MAC2 as 10G clk: mxl: Fix a clk entry by adding relevant flags clk: mxl: Add option to override gate clks clk: mxl: Remove redundant spinlocks clk: mxl: Switch from direct readl/writel based IO to regmap based IO drm/edid: Fix minimum bpc supported with DSC1.2 for HDMI sink wifi: rtl8xxxu: gen2: Turn on the rate control wifi: ath11k: fix warning in dma_free_coherent() of memory chunks while recovery drm/etnaviv: don't truncate physical page address Linux 6.1.13 net: sched: sch: Fix off by one in htb_activate_prios() ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak nvme-pci: refresh visible attrs for cmb attributes alarmtimer: Prevent starvation by small intervals and SIG_IGN perf/x86: Refuse to export capabilities for hybrid PMUs kvm: initialize all of the kvm_debugregs structure before sending it to userspace KVM: x86/pmu: Disable vPMU support on hybrid CPUs (host PMUs) nvme-rdma: stop auth work after tearing down queues in error recovery nvme-tcp: stop auth work after tearing down queues in error recovery net/sched: tcindex: search key must be 16 bits i40e: Add checking for null for nlmsg_find_attr() mm: extend max struct page size for kmsan mm/gup: add folio to list when folio_isolate_lru() succeed ipv6: Fix tcp socket connection with DSCP. ipv6: Fix datagram socket connection with DSCP. ixgbe: add double of VLAN header when computing the max MTU igb: Fix PPS input and output using 3rd and 4th SDP igb: conditionalize I2C bit banging on external thermal sensor support net: mpls: fix stale pointer if allocation fails during device rename tipc: fix kernel warning when sending SYN message net: use a bounce buffer for copying skb->mark net: stmmac: Restrict warning on disabling DMA store and fwd mode tracing: Make trace_define_field_ext() static bnxt_en: Fix mqprio and XDP ring checking logic net: stmmac: fix order of dwmac5 FlexPPS parametrization sequence net: openvswitch: fix possible memory leak in ovs_meter_cmd_set() net/sched: act_ctinfo: use percpu stats net/usb: kalmia: Don't pass act_len in usb_bulk_msg error path dccp/tcp: Avoid negative sk_forward_alloc by ipv6_pinfo.pktoptions. ice: xsk: Fix cleaning of XDP_TX frames net/sched: tcindex: update imperfect hash filters respecting rcu sctp: sctp_sock_filter(): avoid list_entry() on possibly empty list net: ethernet: ti: am65-cpsw: Add RX DMA Channel Teardown Quirk net: bgmac: fix BCM5358 support by setting correct flags i40e: add double of VLAN header when computing the max MTU ixgbe: allow to increase MTU to 3K with XDP enabled ice: fix lost multicast packets in promisc mode drm/i915/gen11: Wa_1408615072/Wa_1407596294 should be on GT list drm/vc4: Fix YUV plane handling when planes are in different buffers drm/vc4: crtc: Increase setup cost in core clock calculation to handle extreme reduced blanking revert "squashfs: harden sanity check in squashfs_read_xattr_id_table" net: Fix unwanted sign extension in netdev_stats_to_stats64() Revert "mm: Always release pages to the buddy allocator in memblock_free_late()." coredump: Move dump_emit_page() to kill unused warning freezer,umh: Fix call_usermode_helper_exec() vs SIGKILL gpio: sim: fix a memory leak mm/migrate: fix wrongly apply write bit after mkdirty on sparc64 mm/filemap: fix page end in filemap_get_read_batch mm/MADV_COLLAPSE: set EAGAIN on unexpected page refcount nilfs2: fix underflow in second superblock position calculations hugetlb: check for undefined shift on 32 bit architectures sched/psi: Fix use-after-free in ep_remove_wait_queue() ata: libata-core: Disable READ LOG DMA EXT for Samsung MZ7LH ata: ahci: Add Tiger Lake UP{3,4} AHCI controller ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. ALSA: hda/realtek - fixed wrong gpio assigned ALSA: hda/conexant: add a new hda codec SN6180 ALSA: hda: Fix codec device field initializan mmc: mmc_spi: fix error handling in mmc_spi_probe() mmc: sdio: fix possible resource leaks in some error paths mmc: meson-gx: fix SDIO mode if cap_sdio_irq isn't set mmc: jz4740: Work around bug on JZ4760(B) drm/vmwgfx: Do not drop the reference to the handle too soon drm/vmwgfx: Stop accessing buffer objects which failed init drm/amd/display: Fail atomic_check early on normalize_zpos error drm/amd/amdgpu: fix warning during suspend drm: Disable dynamic debug as broken fbdev: Fix invalid page access after closing deferred I/O devices vmxnet3: move rss code block under eop descriptor aio: fix mremap after fork null-deref mm: shrinkers: fix deadlock in shrinker debugfs kasan: fix Oops due to missing calls to kasan_arch_is_ready() of: reserved_mem: Have kmemleak ignore dynamically allocated reserved mem selftests: mptcp: userspace: fix v4-v6 test in v6.1 ceph: blocklist the kclient when receiving corrupted snap trace ceph: move mount state enum to super.h platform/x86: touchscreen_dmi: Add Chuwi Vi8 (CWI501) DMI match drm/amd/display: Properly handle additional cases where DCN is not supported drm/amdgpu: Enable vclk dclk node for gc11.0.3 drm/amdgpu: enable HDP SD for gfx 11.0.3 drm/amd/display: Reset DMUB mailbox SW state after HW reset drm/amd/display: Unassign does_plane_fit_in_mall function from dcn3.2 drm/amd/display: Adjust downscaling limits for dcn314 drm/amd/display: Add missing brackets in calculation nvme: clear the request_queue pointers on failure in nvme_alloc_io_tag_set nvme: clear the request_queue pointers on failure in nvme_alloc_admin_tag_set nvme-fc: fix a missing queue put in nvmet_fc_ls_create_association s390/decompressor: specify __decompress() buf len to avoid overflow net: sched: sch: Bounds check priority net: ethernet: mtk_eth_soc: Avoid truncating allocation drm/nouveau/devinit/tu102-: wait for GFW_BOOT_PROGRESS == COMPLETED fscache: Use clear_and_wake_up_bit() in fscache_create_volume_work() powerpc/64: Fix perf profiling asynchronous interrupt handlers net: stmmac: do not stop RX_CLK in Rx LPI state for qcs404 SoC selftest: net: Improve IPV6_TCLASS/IPV6_HOPLIMIT tests apparmor compatibility net/rose: Fix to not accept on connected socket vdpa: ifcvf: Do proper cleanup if IFCVF init fails tools/virtio: fix the vringh test for virtio ring changes ASoC: cs42l56: fix DT probe bpf, sockmap: Don't let sock_map_{close,destroy,unhash} call itself ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table ALSA: hda: Do not unset preset when cleaning up codec selftests/bpf: Verify copy_register_state() preserves parent/live fields ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless ASoC: SOF: sof-audio: start with the right widget type ASoC: amd: yc: Add DMI support for new acer/emdoor platforms btrfs: lock the inode in shared mode before starting fiemap btrfs: move the auto defrag code to defrag.c mptcp: fix locking for in-kernel listener creation mptcp: deduplicate error paths on endpoint creation mptcp: fix locking for setsockopt corner-case mptcp: sockopt: make 'tcp_fastopen_connect' generic Linux 6.1.12 Documentation/hw-vuln: Add documentation for Cross-Thread Return Predictions KVM: x86: Mitigate the cross-thread return address predictions bug x86/speculation: Identify processors vulnerable to SMT RSB predictions drm/i915: Fix VBT DSI DVO port handling drm/i915: Initialize the obj flags for shmem objects drm/i915: Move fd_install after last use of fence drm/amd/display: fix cursor offset on rotation 180 drm/amd/display: properly handling AGP aperture in vm setup drm/amdgpu/smu: skip pptable init under sriov drm/amdgpu/fence: Fix oops due to non-matching drm_sched init/fini drm/amd/pm: bump SMU 13.0.7 driver_if header version drm/amdgpu: Add unique_id support for GC 11.0.1/2 drm/amd/pm: bump SMU 13.0.0 driver_if header version arm64: efi: Force the use of SetVirtualAddressMap() on eMAG and Altra Max machines Fix page corruption caused by racy check in __free_pages arm64: dts: meson-axg: Make mmc host controller interrupts level-sensitive arm64: dts: meson-g12-common: Make mmc host controller interrupts level-sensitive arm64: dts: meson-gx: Make mmc host controller interrupts level-sensitive rtmutex: Ensure that the top waiter is always woken up tracing: Fix TASK_COMM_LEN in trace event format file drm/amdgpu: Use the TGID for trace_amdgpu_vm_update_ptes powerpc/64s/interrupt: Fix interrupt exit race with security mitigation switch riscv: kprobe: Fixup misaligned load text riscv: Fixup race condition on PG_dcache_clean in flush_icache_pte nvdimm: Support sizeof(struct page) > MAX_STRUCT_PAGE_SIZE ceph: flush cap releases when the session is flushed drm/amd/pm: add SMU 13.0.7 missing GetPptLimit message mapping pinctrl: qcom: sm8450-lpass-lpi: correct swr_rx_data group clk: ingenic: jz4760: Update M/N/OD calculation algorithm cxl/region: Fix passthrough-decoder detection cxl/region: Fix null pointer dereference for resetting decoder usb: typec: altmodes/displayport: Fix probe pin assign check usb: core: add quirk for Alcor Link AK9563 smartcard reader btrfs: free device in btrfs_close_devices for a single device filesystem btrfs: simplify update of last_dir_index_offset when logging a directory selftests: mptcp: stop tests earlier selftests: mptcp: allow more slack for slow test-case mptcp: be careful on subflow status propagation on errors mptcp: do not wait for bare sockets' timeout net: USB: Fix wrong-direction WARNING in plusb.c cifs: Fix use-after-free in rdata->read_into_pages() pinctrl: intel: Restore the pins that used to be in Direct IRQ mode pinctrl: aspeed: Revert "Force to disable the function's signal" spi: dw: Fix wrong FIFO level setting for long xfers pinctrl: single: fix potential NULL dereference pinctrl: aspeed: Fix confusing types in return value pinctrl: mediatek: Fix the drive register definition of some Pins clk: microchip: mpfs-ccc: Use devm_kasprintf() for allocating formatted strings ASoC: topology: Return -ENOMEM on memory allocation failure ASoC: fsl_sai: fix getting version from VERID ASoC: tas5805m: add missing page switch. ASoC: tas5805m: rework to avoid scheduling while atomic. arm64: dts: mediatek: mt8195: Fix vdosys* compatible strings riscv: stacktrace: Fix missing the first frame ALSA: pci: lx6464es: fix a debug loop arm64: dts: rockchip: set sdmmc0 speed to sd-uhs-sdr50 on rock-3a arm64: dts: rockchip: fix input enable pinconf on rk3399 selftests: forwarding: lib: quote the sysctl values net: mscc: ocelot: fix all IPv6 getting trapped to CPU when PTP timestamping is used rds: rds_rm_zerocopy_callback() use list_first_entry() selftests: Fix failing VXLAN VNI filtering test txhash: fix sk->sk_txrehash default net: ethernet: mtk_eth_soc: fix wrong parameters order in __xdp_rxq_info_reg() igc: Add ndo_tx_timeout support net/mlx5: Serialize module cleanup with reload and remove net/mlx5: fw_tracer, Zero consumer index when reloading the tracer net/mlx5: fw_tracer, Clear load bit when freeing string DBs buffers net/mlx5: Expose SF firmware pages counter net/mlx5: Store page counters in a single array net/mlx5e: IPoIB, Show unknown speed instead of error net/mlx5e: Fix crash unsetting rx-vlan-filter in switchdev mode net/mlx5: Bridge, fix ageing of peer FDB entries net/mlx5e: Update rx ring hw mtu upon each rx-fcs flag change net: mscc: ocelot: fix VCAP filters not matching on MAC with "protocol 802.1Q" net: dsa: mt7530: don't change PVC_EG_TAG when CPU port becomes VLAN-aware ice: switch: fix potential memleak in ice_add_adv_recipe() ice: Fix disabling Rx VLAN filtering with port VLAN enabled ice: Do not use WQ_MEM_RECLAIM flag for workqueue nvidiafb: detect the hardware support before removing console. cpuset: Call set_cpus_allowed_ptr() with appropriate mask for task drm/virtio: exbuf->fence_fd unmodified on interrupted wait drm/i915: Don't do the WM0->WM1 copy w/a if WM1 is already enabled HID: amd_sfh: if no sensors are enabled, clean up net: microchip: sparx5: fix PTP init/deinit not checking all ports uapi: add missing ip/ipv6 header dependencies for linux/stddef.h cpufreq: qcom-hw: Fix cpufreq_driver->get() for non-LMH systems ionic: missed doorbell workaround ionic: refactor use of ionic_rx_fill() ionic: clean interrupt before enabling queue to avoid credit race net: phy: meson-gxl: use MMD access dummy stubs for GXL, internal PHY net: macb: Perform zynqmp dynamic configuration only for SGMII interface bonding: fix error checking in bond_debug_reregister() net: phylink: move phy_device_free() to correctly release phy device of: Make OF framebuffer device names unique xfrm: fix bug with DSCP copy to v6 from v4 tunnel RDMA/usnic: use iommu_map_atomic() under spin_lock() RDMA/irdma: Fix potential NULL-ptr-dereference xfrm: annotate data-race around use_time IB/IPoIB: Fix legacy IPoIB due to wrong number of queues xfrm/compat: prevent potential spectre v1 gadget in xfrm_xlate32_attr() IB/hfi1: Restore allocated resources on failed copyout xfrm: compat: change expression for switch in xfrm_xlate64 HID: logitech: Disable hi-res scrolling on USB can: j1939: do not wait 250 ms if the same addr was already claimed of/address: Return an error when no valid dma-ranges are found tracing: Fix poll() and select() do not work on per_cpu trace_pipe and trace_pipe_raw Revert "PCI/ASPM: Refactor L1 PM Substates Control Register programming" Revert "PCI/ASPM: Save L1 PM Substates Capability for suspend/resume" ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() ALSA: hda/realtek: Add Positivo N14KP6-TG btrfs: zlib: zero-initialize zlib workspace btrfs: limit device extents to the device size hv_netvsc: Allocate memory in netvsc_dma_map() with GFP_ATOMIC Linux 6.1.11 bpf: Skip invalid kfunc call in backtrack_insn gfs2: Always check inode size of inline inodes gfs2: Cosmetic gfs2_dinode_{in,out} cleanup wifi: brcmfmac: Check the count value of channel spec to prevent out-of-bounds reads f2fs: fix to do sanity check on i_extra_isize in is_alive() fbdev: smscufx: fix error handling code in ufx_usb_probe f2fs: initialize locks earlier in f2fs_fill_super() ovl: Use "buf" flexible array for memcpy() destination fs/ntfs3: Validate attribute data and valid sizes powerpc/imc-pmu: Revert nest_init_lock to being a mutex powerpc/64s: Fix local irq disable when PMIs are disabled powerpc/64s/radix: Fix crash with unaligned relocated kernel iio:adc:twl6030: Enable measurement of VAC ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure platform/x86/amd: pmc: add CONFIG_SERIO dependency serial: 8250_dma: Fix DMA Rx rearm race serial: 8250_dma: Fix DMA Rx completion race phy: qcom-qmp-combo: fix runtime suspend nvmem: core: fix return value nvmem: core: fix cell removal on error nvmem: core: fix device node refcounting nvmem: core: fix registration vs use race nvmem: core: fix cleanup after dev_set_name() nvmem: core: remove nvmem_config wp_gpio nvmem: core: initialise nvmem->id early drm/amdgpu: update wave data type to 3 for gfx11 drm/amd/pm: drop unneeded dpm features disablement for SMU 13.0.4/11 drm/amd: Fix initialization for nbio 4.3.0 drm/i915: Fix potential bit_17 double-free drm/i915: Avoid potential vm use-after-free serial: stm32: Merge hard IRQ and threaded IRQ handling into single IRQ handler dma-buf: actually set signaling bit for private stub fences migrate: hugetlb: check for hugetlb shared PMD in node migration maple_tree: fix mas_empty_area_rev() lower bound validation Squashfs: fix handling and sanity checking of xattr_ids count ia64: fix build error due to switch case label appearing next to declaration highmem: round down the address passed to kunmap_flush_on_unmap() mm/MADV_COLLAPSE: catch !none !huge !bad pmd lookups mm, mremap: fix mremap() expanding for vma's with vm_ops->close() mm/khugepaged: fix ->anon_vma race mm/swapfile: add cond_resched() in get_swap_pages() mm/uffd: fix pte marker when fork() without fork event fpga: stratix10-soc: Fix return value check in s10_ops_write_init() fpga: m10bmc-sec: Fix probe rollback x86/debug: Fix stack recursion caused by wrongly ordered DR7 accesses HV: hv_balloon: fix memory leak with using debugfs_lookup() kernel/irq/irqdomain.c: fix memory leak with using debugfs_lookup() usb: gadget: udc: do not clear gadget driver.bus usb: typec: ucsi: Don't attempt to resume the ports before they exist usb: gadget: f_uac2: Fix incorrect increment of bNumEndpoints mm: memcg: fix NULL pointer in mem_cgroup_track_foreign_dirty_slowpath() mm: hugetlb: proc: check for hugetlb shared PMD in /proc/PID/smaps mm: multi-gen LRU: fix crash during cgroup migration Revert "mm: kmemleak: alloc gray object for reserved region with direct map" riscv: disable generation of unwind tables parisc: Wire up PTRACE_GETREGS/PTRACE_SETREGS for compat case parisc: Replace hardcoded value with PRIV_USER constant in ptrace.c parisc: Fix return code of pdc_iodc_print() nvmem: qcom-spmi-sdam: fix module autoloading nvmem: sunxi_sid: Always use 32-bit MMIO reads nvmem: brcm_nvram: Add check for kzalloc iio: imu: fxos8700: fix MAGN sensor scale and unit iio: imu: fxos8700: remove definition FXOS8700_CTRL_ODR_MIN iio: imu: fxos8700: fix failed initialization ODR mode assignment iio: imu: fxos8700: fix incorrect ODR mode readback iio: imu: fxos8700: fix swapped ACCEL and MAGN channels readback iio: imu: fxos8700: fix map label of channel type to MAGN sensor iio: imu: fxos8700: fix IMU data bits returned to user space iio: imu: fxos8700: fix incomplete ACCEL and MAGN channels readback iio: imu: fxos8700: fix ACCEL measurement range selection iio: light: cm32181: Fix PM support on system with 2 I2C resources iio:adc:twl6030: Enable measurements of VUSB, VBAT and others iio: imx8qxp-adc: fix irq flood when call imx8qxp_adc_read_raw() iio: adc: berlin2-adc: Add missing of_node_put() in error path iio: adc: xilinx-ams: fix devm_krealloc() return value check iio: hid: fix the retval in gyro_3d_capture_sample iio: hid: fix the retval in accel_3d_capture_sample rtc: efi: Enable SET/GET WAKEUP services as optional efi: Accept version 2 of memory attributes table ASoC: SOF: keep prepare/unprepare widgets in sink path ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 ASoC: codecs: wsa883x: correct playback min/max rates ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform ALSA: hda/realtek: Add Acer Predator PH315-54 watchdog: diag288_wdt: fix __diag288() inline assembly watchdog: diag288_wdt: do not use stack buffers for hardware data can: isotp: handle wait_event_interruptible() return values can: isotp: split tx timer into transmission and timeout net: qrtr: free memory on error path in radix_tree_insert() ARM: dts: imx7d-smegw01: Fix USB host over-current polarity hv_netvsc: Fix missed pagebuf entries in netvsc_dma_map/unmap() cgroup/cpuset: Fix wrong check in update_parent_subparts_cpumask() fbcon: Check font dimension limits vc_screen: move load of struct vc_data pointer in vcs_read() to avoid UAF usb: gadget: f_fs: Fix unbalanced spinlock in __ffs_ep0_queue_wait usb: dwc3: qcom: enable vbus override when in OTG dr-mode iio: adc: stm32-dfsdm: fill module aliases bcache: Silence memcpy() run-time false positive warnings drm/amd/display: Fix timing not changning when freesync video is enabled net/x25: Fix to not accept on connected socket platform/x86/amd: pmc: Disable IRQ1 wakeup for RN/CZN platform/x86: gigabyte-wmi: add support for B450M DS3H WIFI-CF platform/x86: hp-wmi: Handle Omen Key event platform/x86: dell-wmi: Add a keymap for KEY_MUTE in type 0x0010 table x86/build: Move '-mindirect-branch-cs-prefix' out of GCC-only block Revert "gfs2: stop using generic_writepages in gfs2_ail1_start_one" i2c: rk3x: fix a bunch of kernel-doc warnings scsi: iscsi_tcp: Fix UAF during login when accessing the shost ipaddress scsi: iscsi_tcp: Fix UAF during logout when accessing the shost ipaddress perf/x86/intel/cstate: Add Emerald Rapids perf/x86/intel: Add Emerald Rapids x86/aperfmperf: Erase stale arch_freq_scale values when disabling frequency invariance readings scsi: target: core: Fix warning on RT kernels i2c: mxs: suppress probe-deferral error message i2c: designware-pci: Add new PCI IDs for AMD NAVI GPU kbuild: modinst: Fix build error when CONFIG_MODULE_SIG_KEY is a PKCS#11 URI certs: Fix build error when PKCS#11 URI contains semicolon rtc: sunplus: fix format string for printing resource efi: fix potential NULL deref in efi_mem_reserve_persistent net: openvswitch: fix flow memory leak in ovs_flow_cmd_new virtio-net: Keep stop() to follow mirror sequence of open() selftests: net: udpgso_bench_tx: Cater for pending datagrams zerocopy benchmarking selftests: net: udpgso_bench: Fix racing bug between the rx/tx programs selftests: net: udpgso_bench_rx/tx: Stop when wrong CLI args are provided selftests: net: udpgso_bench_rx: Fix 'used uninitialized' compiler warning ata: libata: Fix sata_down_spd_limit() when no link speed is reported can: mcp251xfd: mcp251xfd_ring_set_ringparam(): assign missing tx_obj_num_coalesce_irq can: raw: fix CAN FD frame transmissions over CAN XL devices can: j1939: fix errant WARN_ON_ONCE in j1939_session_deactivate octeontx2-af: Fix devlink unregister igc: return an error if the mac type is unknown in igc_ptp_systim_to_hwtstamp() riscv: kprobe: Fixup kernel panic when probing an illegal position ip/ip6_gre: Fix non-point-to-point tunnel not generating IPv6 link local address ip/ip6_gre: Fix changing addr gen mode not generating IPv6 link local address drm/panel: boe-tv101wum-nl6: Ensure DSI writes succeed during disable net: phy: meson-gxl: Add generic dummy stubs for MMD register access sctp: do not check hb_timer.expires when resetting hb_timer maple_tree: should get pivots boundary by type squashfs: harden sanity check in squashfs_read_xattr_id_table kunit: fix kunit_test_init_section_suites(...) block: ublk: extending queue_size to fix overflow netfilter: br_netfilter: disable sabotage_in hook after first suppression ALSA: firewire-motu: fix unreleased lock warning in hwdep device net/tls: tls_is_tx_ready() checked list_entry drm/i915/adlp: Fix typo for reference clock drm/i915: Fix up locking around dumping requests lists drm/i915: Fix request ref counting during error capture & debugfs dump drm/i915/guc: Fix locking when searching for a hung request platform/x86: thinkpad_acpi: Fix thinklight LED brightness returning 255 platform/x86/amd/pmf: Ensure mutexes are initialized before use platform/x86/amd/pmf: Fix to update SPS thermals when power supply change platform/x86/amd/pmf: Add helper routine to check pprof is balanced platform/x86/amd/pmf: Fix to update SPS default pprof thermals platform/x86/amd/pmf: Add helper routine to update SPS thermals platform/x86/amd/pmf: update to auto-mode limits only after AMT event fscache: Use wait_on_bit() to wait for the freeing of relinquished volume netrom: Fix use-after-free caused by accept on already connected socket block, bfq: fix uaf for bfqq in bic_set_bfqq() block, bfq: replace 0/1 with false/true in bic apis net: wwan: t7xx: Fix Runtime PM initialization net: phy: dp83822: Fix null pointer access on DP83825/DP83826 devices sfc: correctly advertise tunneled IPv6 segmentation skb: Do mix page pool and page referenced frags in GRO dpaa2-eth: execute xdp_do_flush() before napi_complete_done() dpaa_eth: execute xdp_do_flush() before napi_complete_done() virtio-net: execute xdp_do_flush() before napi_complete_done() qede: execute xdp_do_flush() before napi_complete_done() ice: Prevent set_channel from changing queues while RDMA active vhost-scsi: unbreak any layout for response use less confusing names for iov_iter direction initializers fix "direction" argument of iov_iter_kvec() fix 'direction' argument of iov_iter_{init,bvec}() fix iov_iter_bvec() "direction" argument memcpy_real(): WRITE is "data source", not destination... zcore: WRITE is "data source", not destination... READ is "data destination", not source... WRITE is "data source", not destination... copy_oldmem_kernel() - WRITE is "data source", not destination vhost/net: Clear the pending messages when the backend is removed ALSA: memalloc: Workaround for Xen PV bpf: Fix the kernel crash caused by bpf_setsockopt(). scsi: Revert "scsi: core: map PQ=1, PDT=other values to SCSI_SCAN_TARGET_PRESENT" drm/ssd130x: Init display before the SSD130X_DISPLAY_ON command drm/vc4: hdmi: make CEC adapter name unique arm64: dts: imx8mm-verdin: Do not power down eth-phy arm64: dts: imx8mm: Fix pad control for UART1_DTE_RX bpf, sockmap: Check for any of tcp_bpf_prots when cloning a listener media: v4l2-ctrls-api.c: move ctrl->is_new = 1 to the correct line bpf: Add missing btf_put to register_btf_id_dtor_kfuncs ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() selftests/filesystems: grant executable permission to run_fat_tests.sh bpf: Fix to preserve reg parent/live fields when copying range info ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() bpf: Fix a possible task gone issue with bpf_send_signal[_thread]() helpers bpf: Fix off-by-one error in bpf_mem_cache_idx() ASoC: Intel: avs: Implement PCI shutdown ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use ASoC: amd: acp-es8336: Drop reference count of ACPI device after use arm64: dts: freescale: imx8dxl: fix sc_pwrkey's property name linux,keycode arm64: dts: imx8m-venice: Remove incorrect 'uart-has-rtscts' bus: sunxi-rsb: Fix error handling in sunxi_rsb_init() firewire: fix memory leak for payload of request subaction to IEC 61883-1 FCP region Linux 6.1.10 net: mctp: purge receive queues on sk destruction rust: print: avoid evaluating arguments in `pr_*` macros in `unsafe` blocks net: fix NULL pointer in skb_segment_list gpiolib-acpi: Don't set GPIOs for wakeup in S3 mode gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xRU nvme-apple: only reset the controller when RTKit is running cifs: fix return of uninitialized rc in dfs_cache_update_tgthint() gpiolib: acpi: Allow ignoring wake capability on pins that aren't in _AEI dmaengine: imx-sdma: Fix a possible memory leak in sdma_transfer_init HID: playstation: sanity check DualSense calibration data. HID: uclogic: Add support for XP-PEN Deco 01 V2 s390: workaround invalid gcc-11 out of bounds read warning block: fix hctx checks for batch allocation ACPI: video: Add backlight=native DMI quirk for Acer Aspire 4810T LoongArch: Get frame info in unwind_start() when regs is not available blk-cgroup: fix missing pd_online_fn() while activating policy erofs: clean up parsing of fscache related options kselftest: Fix error message for unconfigured LLVM builds ARM: omap1: fix building gpio15xx arm64: dts: msm8994-angler: fix the memory map mac80211: Fix MLO address translation for multiple bss case erofs/zmap.c: Fix incorrect offset calculation bpf: Skip task with pid=1 in send_signal_common() firmware: arm_scmi: Clear stale xfer->hdr.status arm64: dts: imx8mq-thor96: fix no-mmc property for SDHCI arm64: dts: freescale: Fix pca954x i2c-mux node names ARM: dts: vf610: Fix pca9548 i2c-mux node names ARM: dts: imx: Fix pca9547 i2c-mux node name Linux 6.1.9 amdgpu: fix build on non-DCN platforms. perf/x86/amd: fix potential integer overflow on shift of a int netfilter: conntrack: unify established states for SCTP paths dt-bindings: i2c: renesas,rzv2m: Fix SoC specific string x86/i8259: Mark legacy PIC interrupts with IRQ_LEVEL dt-bindings: riscv: fix single letter canonical order dt-bindings: riscv: fix underscore requirement for multi-letter extensions acpi: Fix suspend with Xen PV x86/sev: Add SEV-SNP guest feature negotiation support Input: i8042 - add Clevo PCX0DX to i8042 quirk table Revert "Input: synaptics - switch touchpad on HP Laptop 15-da3001TU to RMI mode" Revert "mm/compaction: fix set skip in fast_find_migrateblock" Fix up more non-executable files marked executable tools: gpio: fix -c option of gpio-event-mon treewide: fix up files incorrectly marked executable block: ublk: move ublk_chr_class destroying after devices are removed Partially revert "perf/arm-cmn: Optimise DTC counter accesses" net: mdio-mux-meson-g12a: force internal PHY off on mux switch tsnep: Fix TX queue stop/wake for multiple queues net/tg3: resolve deadlock in tg3_reset_task() during EEH riscv: Move call to init_cpu_topology() to later initialization stage thermal: intel: int340x: Add locking to int340x_thermal_get_trip_type() net: mctp: mark socks as dead on unhash, prevent re-add net: mctp: hold key reference when looking up a general key net: mctp: move expiry timer delete to unhash net: mctp: add an explicit reference from a mctp_sk_key to sock net: ravb: Fix possible hang if RIS2_QFF1 happen net: ravb: Fix lack of register setting after system resumed for Gen3 gpio: ep93xx: Fix port F hwirq numbers in handler gpio: mxc: Unlock on error path in mxc_flip_edge() nvme: fix passthrough csi check riscv/kprobe: Fix instruction simulation of JALR sctp: fail if no bound addresses can be used for a given scope net/sched: sch_taprio: do not schedule in taprio_reset() tracing/osnoise: Use built-in RCU list checking ACPI: video: Fix apple gmux detection platform/x86: apple-gmux: Add apple_gmux_detect() helper platform/x86: apple-gmux: Move port defines to apple-gmux.h platform/x86: asus-wmi: Fix kbd_dock_devid tablet-switch reporting netrom: Fix use-after-free of a listening socket. netfilter: conntrack: fix vtag checks for ABORT/SHUTDOWN_COMPLETE net: ethernet: adi: adin1110: Fix multicast offloading net: dsa: microchip: fix probe of I2C-connected KSZ8563 ipv4: prevent potential spectre v1 gadget in fib_metrics_match() ipv4: prevent potential spectre v1 gadget in ip_metrics_convert() netlink: annotate data races around sk_state netlink: annotate data races around dst_portid and dst_group netlink: annotate data races around nlk->portid netfilter: nft_set_rbtree: skip elements in transaction from garbage collection netfilter: nft_set_rbtree: Switch to node list walk for overlap detection ACPI: video: Add backlight=native DMI quirk for Asus U46E ACPI: video: Add backlight=native DMI quirk for HP EliteBook 8460p ACPI: video: Add backlight=native DMI quirk for HP Pavilion g6-1d80nr drm/i915/selftest: fix intel_selftest_modify_policy argument types nvme-fc: fix initialization order nvme: consolidate setting the tagset flags nvme: simplify transport specific device attribute handling net: fec: Use page_pool_put_full_page when freeing rx buffers net: fix UaF in netns ops registration error path netlink: prevent potential spectre v1 gadgets iavf: schedule watchdog immediately when changing primary MAC iavf: fix temporary deadlock and failure to set MAC address drm/i915: Fix a memory leak with reused mmap_offset drm/drm_vma_manager: Add drm_vma_node_allow_once() i2c: designware: Fix unbalanced suspended flag i2c: designware: use casting of u64 in clock multiplication to avoid overflow io_uring: always prep_async for drain requests net: mana: Fix IRQ name - add PCI and queue number io_uring: inline __io_req_complete_put() io_uring: remove io_req_tw_post_queue io_uring: use io_req_task_complete() in timeout io_uring: hold locks for io_req_complete_failed io_uring: inline __io_req_complete_post() io_uring: inline io_req_task_work_add() drm/amdgpu/display/mst: update mst_mgr relevant variable when long HPD drm/amdgpu/display/mst: limit payload to be updated one by one drm/amdgpu/display/mst: Fix mst_state->pbn_div and slot count assignments drm/amdgpu: remove unconditional trap enable on add gfx11 queues drm/amd/pm: add missing AllowIHInterrupt message mapping for SMU13.0.0 drm/display/dp_mst: Correct the kref of port. platform/x86: thinkpad_acpi: Fix profile modes on Intel platforms EDAC/qcom: Do not pass llcc_driv_data as edac_device_ctl_info's pvt_info EDAC/device: Respect any driver-supplied workqueue polling value ARM: 9280/1: mm: fix warning on phys_addr_t to void pointer assignment ipv6: fix reachability confirmation with proxy_ndp regulator: dt-bindings: samsung,s2mps14: add lost samsung,ext-control-gpios thermal: intel: int340x: Protect trip temperature from concurrent updates riscv: fix -Wundef warning for CONFIG_RISCV_BOOT_SPINWAIT scsi: ufs: core: Fix devfreq deadlocks KVM: arm64: GICv4.1: Fix race with doorbell on VPE activation/deactivation KVM: x86/vmx: Do not skip segment attributes if unusable bit is set io_uring/net: cache provided buffer group value for multishot receives ovl: fail on invalid uid/gid mapping at copy up ovl: fix tmpfile leak ksmbd: limit pdu length size according to connection status ksmbd: downgrade ndr version error message to debug ksmbd: do not sign response to session request for guest login ksmbd: add max connections parameter cifs: Fix oops due to uncleared server->smbd_conn in reconnect ftrace/scripts: Update the instructions for ftrace-bisect.sh trace_events_hist: add check for return value of 'create_hist_field' tracing: Make sure trace_printk() can output as soon as it can be used ftrace: Export ftrace_free_filter() to modules module: Don't wait for GOING modules nfsd: don't free files unconditionally in __nfsd_file_cache_purge kvm/vfio: Fix potential deadlock on vfio group_lock scsi: hpsa: Fix allocation size for scsi_host_alloc() vfio/type1: Respect IOMMU reserved regions in vfio_test_domain_fgsp() sched/uclamp: Fix a uninitialized variable warnings sched/fair: Check if prev_cpu has highest spare cap in feec() wifi: mac80211: Fix iTXQ AMPDU fragmentation handling wifi: mac80211: Proper mark iTXQs for resumption io_uring/msg_ring: fix remote queue to disabled ring drm/amdgpu: complete gfxoff allow signal during suspend without delay drm/i915: Allow alternate fixed modes always for eDP drm/i915: Allow panel fixed modes to have differing sync polarities Bluetooth: hci_sync: cancel cmd_timer if hci_open failed arm64: efi: Account for the EFI runtime stack in stack unwinder arm64: efi: Avoid workqueue to check whether EFI runtime is live arm64: efi: Recover from synchronous exceptions occurring in firmware Revert "selftests/bpf: check null propagation only neither reg is PTR_TO_BTF_ID" btrfs: zoned: enable metadata over-commit for non-ZNS setup firmware: coreboot: Check size of table entry and use flex-array ata: pata_cs5535: Don't build on UML lockref: stop doing cpu_relax in the cmpxchg loop platform/x86: simatic-ipc: add another model platform/x86: simatic-ipc: correct name of a model platform/x86: asus-wmi: Ignore fan on E410MA platform/x86: asus-wmi: Add quirk wmi_ignore_fan platform/x86: asus-nb-wmi: Add alternate mapping for KEY_SCREENLOCK platform/x86: asus-nb-wmi: Add alternate mapping for KEY_CAMERA platform/x86: touchscreen_dmi: Add info for the CSL Panther Tab HD r8152: add vendor/device ID pair for Microsoft Devkit scsi: hisi_sas: Set a port invalid only if there are no devices attached when refreshing port id scsi: hisi_sas: Use abort task set to reset SAS disks when discovered KVM: s390: interrupt: use READ_ONCE() before cmpxchg() spi: spidev: remove debug messages that access spidev->spi without locking cifs: fix potential memory leaks in session setup drm/amdkfd: Fix NULL pointer error for GC 11.0.1 on mGPU drm/amdkfd: Add sync after creating vram bo ACPI: resource: Skip IRQ override on Asus Expertbook B2402CBA ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC cpufreq: armada-37xx: stop using 0 as NULL pointer tools/nolibc: prevent gcc from making memset() loop over itself tools/nolibc: fix missing includes causing build issues at -O0 tools/nolibc: Fix S_ISxxx macros nolibc: fix fd_set type perf/x86/intel/uncore: Add Emerald Rapids perf/x86/msr: Add Emerald Rapids perf/x86/msr: Add Meteor Lake support perf/x86/cstate: Add Meteor Lake support xen/pvcalls: free active map buffer on pvcalls_front_free_map s390: expicitly align _edata and _end symbols on page boundary s390/debug: add _ASM_S390_ prefix to header guard drm: Add orientation quirk for Lenovo ideapad D330-10IGL net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem drm/msm/a6xx: Avoid gx gbit halt during rpm suspend ASoC: fsl_micfil: Correct the number of steps on SX controls cpufreq: Add SM6375 to cpufreq-dt-platdev blocklist kcsan: test: don't put the expect array on the stack ASoC: support machine driver with max98360 ASoC: amd: yc: Add ASUS M5402RA into DMI table ASoC: mediatek: mt8186: Add machine support for max98357a ASoC: mediatek: mt8186: support rt5682s_max98360 cpufreq: Add Tegra234 to cpufreq-dt-platdev blocklist cpufreq: CPPC: Add u64 casts to avoid overflowing spi: cadence: Fix busy cycles calculation ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table ASoC: SOF: Add FW state to debugfs ASoC: SOF: pm: Always tear down pipelines before DSP suspend ASoC: SOF: pm: Set target state earlier scsi: iscsi: Fix multiple iSCSI session unbind events sent to userspace tcp: fix rate_app_limited to default to 1 bnxt: Do not read past the end of test names net: stmmac: enable all safety features by default thermal: core: call put_device() only after device_register() fails thermal/core: fix error code in __thermal_cooling_device_register() thermal: Validate new state in cur_state_store() net: dsa: microchip: ksz9477: port map correction in ALU table entry register selftests/net: toeplitz: fix race on tpacket_v3 block close driver core: Fix test_async_probe_init saves device in wrong array w1: fix WARNING after calling w1_process() w1: fix deadloop in __w1_remove_master_device() device property: fix of node refcount leak in fwnode_graph_get_next_endpoint() ptdma: pt_core_execute_cmd() should use spinlock usb: dwc3: fix extcon dependency tcp: avoid the lookup process failing to get sk in ehash table nvme-pci: fix timeout request state check net: sched: gred: prevent races when adding offloads to stats drm/amd/display: fix issues with driver unload phy: phy-can-transceiver: Skip warning if no "max-bitrate" dmaengine: tegra: Fix memory leak in terminate_all() dmaengine: xilinx_dma: call of_node_put() when breaking out of for_each_child_of_node() cifs: fix potential deadlock in cache_refresh_path() drm/i915/selftests: Unwind hugepages to drop wakeref on error HID: betop: check shape of output reports l2tp: prevent lockdep issue in l2tp_tunnel_register() virtio-net: correctly enable callback during start_xmit net: macb: fix PTP TX timestamp failure due to packet padding dmaengine: Fix double increment of client_count in dma_chan_get() drm/panfrost: fix GENERIC_ATOMIC64 dependency net: mlx5: eliminate anonymous module_init & module_exit net/mlx5: E-switch, Fix switchdev mode after devlink reload net/mlx5e: Set decap action based on attr for sample net/mlx5e: QoS, Fix wrongfully setting parent_element_id on MODIFY_SCHEDULING_ELEMENT net/mlx5: E-switch, Fix setting of reserved fields on MODIFY_SCHEDULING_ELEMENT net/mlx5e: Avoid false lock dependency warning on tc_ht even more net: ipa: disable ipa interrupt during suspend Bluetooth: Fix possible deadlock in rfcomm_sk_state_change Bluetooth: hci_event: Fix Invalid wait context Bluetooth: ISO: Fix possible circular locking dependency Bluetooth: ISO: Avoid circular locking dependency Bluetooth: hci_sync: fix memory leak in hci_update_adv_data() Bluetooth: hci_conn: Fix memory leaks Bluetooth: Fix a buffer overflow in mgmt_mesh_add() netfilter: conntrack: handle tcp challenge acks during connection reuse usb: gadget: f_fs: Ensure ep0req is dequeued before free_request usb: gadget: f_fs: Prevent race during ffs_ep0_queue_wait usb: ucsi: Ensure connector delayed work items are flushed block/rnbd-clt: fix wrong max ID in ida_alloc_max HID: revert CHERRY_MOUSE_000C quirk ARM: dts: stm32: Fix qspi pinctrl phandle for stm32mp151a-prtt1l ARM: dts: stm32: Fix qspi pinctrl phandle for stm32mp157c-emstamp-argon ARM: dts: stm32: Fix qspi pinctrl phandle for stm32mp15xx-dhcom-som ARM: dts: stm32: Fix qspi pinctrl phandle for stm32mp15xx-dhcor-som pinctrl: rockchip: fix mux route data for rk3568 net: stmmac: fix invalid call to mdiobus_get_phy() HID: check empty report_list in bigben_probe() HID: check empty report_list in hid_validate_values() net: mdio: validate parameter addr in mdiobus_get_phy() net: usb: sr9700: Handle negative len l2tp: close all race conditions in l2tp_tunnel_register() l2tp: convert l2tp_tunnel_list to idr net/sched: sch_taprio: fix possible use-after-free net: stmmac: Fix queue statistics reading pinctrl: rockchip: fix reading pull type on rk3568 wifi: rndis_wlan: Prevent buffer overflow in rndis_query_oid gpio: mxc: Always set GPIOs used as interrupt source to INPUT mode gpio: mxc: Protect GPIO irqchip RMW with bgpio spinlock sch_htb: Avoid grafting on htb_destroy_class_offload when destroying htb net: lan966x: add missing fwnode_handle_put() for ports node net: enetc: avoid deadlock in enetc_tx_onestep_tstamp() net: wan: Add checks for NULL for utdm in undo_uhdlc_init and unmap_si_regs net: nfc: Fix use-after-free in local_cleanup() phy: rockchip-inno-usb2: Fix missing clk_disable_unprepare() in rockchip_usb2phy_power_on() drm/vc4: bo: Fix unused variable warning bpf: Fix pointer-leak due to insufficient speculative store bypass mitigation amd-xgbe: Delay AN timeout during KR training amd-xgbe: TX Flow Ctrl Registers are h/w ver dependent bpf: hash map, avoid deadlock with suitable hash mask phy: usb: sunplus: Fix potential null-ptr-deref in sp_usb_phy_probe() drm/vc4: bo: Fix drmm_mutex_init memory hog ARM: dts: at91: sam9x60: fix the ddr clock for sam9x60 NFSD: fix use-after-free in nfsd4_ssc_setup_dul() drm/msm/gpu: Fix potential double-free phy: ti: fix Kconfig warning and operator precedence kbuild: fix 'make modules' error when CONFIG_DEBUG_INFO_BTF_MODULES=y kbuild: export top-level LDFLAGS_vmlinux only to scripts/Makefile.vmlinux arm64: dts: qcom: msm8992-libra: Fix the memory map arm64: dts: qcom: msm8992: Don't use sfpb mutex PM: AVS: qcom-cpr: Fix an error handling path in cpr_probe() affs: initialize fsdata in affs_truncate() IB/hfi1: Remove user expected buffer invalidate race IB/hfi1: Immediately remove invalid memory from hardware IB/hfi1: Fix expected receive setup error exit issues IB/hfi1: Reserve user expected TIDs IB/hfi1: Reject a zero-length user expected buffer RDMA/core: Fix ib block iterator counter overflow arm64: dts: marvell: AC5/AC5X: Fix address for UART1 erofs: fix kvcalloc() misuse with __GFP_NOFAIL RDMA/rxe: Prevent faulty rkey generation RDMA/rxe: Fix inaccurate constants in rxe_type_info tomoyo: fix broken dependency on *.conf.default HID: amd_sfh: Fix warning unwind goto interconnect: qcom: msm8996: Fix regmap max_register values interconnect: qcom: msm8996: Provide UFS clocks to A2NoC firmware: arm_scmi: Fix virtio channels cleanup on shutdown firmware: arm_scmi: Harden shared memory access in fetch_notification firmware: arm_scmi: Harden shared memory access in fetch_response EDAC/highbank: Fix memory leak in highbank_mc_probe() reset: uniphier-glue: Fix possible null-ptr-deref reset: ti-sci: honor TI_SCI_PROTOCOL setting when not COMPILE_TEST soc: imx8m: Fix incorrect check for of_clk_get_by_name() arm64: dts: imx8mm-venice-gw7901: fix USB2 controller OC polarity HID: intel_ish-hid: Add check for ishtp_dma_tx_map arm64: dts: imx8mp-evk: pcie0-refclk cosmetic cleanup arm64: dts: imx8mp: Fix power-domain typo arm64: dts: imx8mp: Fix missing GPC Interrupt soc: imx: imx8mp-blk-ctrl: don't set power device name ARM: imx: add missing of_node_put() arm64: dts: imx93-11x11-evk: correct clock and strobe pad setting arm64: dts: verdin-imx8mm: fix dev board audio playback arm64: dts: imx8mm-beacon: Fix ecspi2 pinmux arm64: dts: verdin-imx8mm: fix dahlia audio playback ARM: dts: imx6qdl-gw560x: Remove incorrect 'uart-has-rtscts' ARM: dts: imx7d-pico: Use 'clock-frequency' ARM: dts: imx6ul-pico-dwarf: Use 'clock-frequency' arm64: dts: imx8mp-phycore-som: Remove invalid PMIC property soc: imx: imx8mp-blk-ctrl: enable global pixclk with HDMI_TX_PHY PD dmaengine: ti: k3-udma: Do conditional decrement of UDMA_CHAN_RT_PEER_BCNT_REG dmaengine: qcom: gpi: Set link_rx bit on GO TRE for rx operation arm64: dts: qcom: sc8280xp: fix primary USB-DP PHY reset memory: mvebu-devbus: Fix missing clk_disable_unprepare in mvebu_devbus_probe() memory: atmel-sdramc: Fix missing clk_disable_unprepare in atmel_ramc_probe() memory: tegra: Remove clients SID override programming Linux 6.1.8 soc: qcom: apr: Make qcom,protection-domain optional again Revert "wifi: mac80211: fix memory leak in ieee80211_if_add()" block: mq-deadline: Rename deadline_is_seq_writes() net/mlx5: fix missing mutex_unlock in mlx5_fw_fatal_reporter_err_work() octeontx2-pf: Fix the use of GFP_KERNEL in atomic context on rt net/ulp: use consistent error code when blocking ULP octeontx2-pf: Avoid use of GFP_KERNEL in atomic context drm/amdgpu: correct MEC number for gfx11 APUs drm/amdgpu: add tmz support for GC IP v11.0.4 drm/amdgpu: add tmz support for GC 11.0.1 drm/amdgpu: enable GFX Clock Gating control for GC IP v11.0.4 drm/amdgpu: enable GFX Power Gating for GC IP v11.0.4 drm/amdgpu: enable GFX IP v11.0.4 CG support drm/amdgpu: enable PSP IP v13.0.11 support drm/amdgpu/discovery: enable nbio support for NBIO v7.7.1 drm/amdgpu/pm: use the specific mailbox registers only for SMU IP v13.0.4 drm/amdgpu/soc21: add mode2 asic reset for SMU IP v13.0.11 drm/amdgpu/pm: add GFXOFF control IP version check for SMU IP v13.0.11 drm/amdgpu: add smu 13 support for smu 13.0.11 drm/amdgpu/pm: enable swsmu for SMU IP v13.0.11 drm/amdgpu/discovery: add PSP IP v13.0.11 support drm/amdgpu: add gmc v11 support for GC 11.0.4 drm/amdgpu: add gfx support for GC 11.0.4 drm/amdgpu/discovery: set the APU flag for GC 11.0.4 drm/amdgpu: set GC 11.0.4 family drm/amdgpu/discovery: enable mes support for GC v11.0.4 drm/amdgpu/discovery: enable gfx v11 for GC 11.0.4 drm/amdgpu/discovery: enable gmc v11 for GC 11.0.4 drm/amdgpu/discovery: enable soc21 common for GC 11.0.4 x86/fpu: Use _Alignof to avoid undefined behavior in TYPE_ALIGN exit: Use READ_ONCE() for all oops/warn limit reads docs: Fix path paste-o for /sys/kernel/warn_count panic: Expose "warn_count" to sysfs panic: Introduce warn_limit panic: Consolidate open-coded panic_on_warn checks exit: Allow oops_limit to be disabled exit: Expose "oops_count" to sysfs exit: Put an upper limit on how often we can oops panic: Separate sysctl logic from CONFIG_SMP efi: rt-wrapper: Add missing include arm64: efi: Execute runtime services from a dedicated stack fs/ntfs3: Fix attr_punch_hole() null pointer derenference cifs: reduce roundtrips on create/qinfo requests drm/amd/display: disable S/G display on DCN 3.1.4 drm/amd/display: disable S/G display on DCN 3.1.5 drm/amd/display: Fix COLOR_SPACE_YCBCR2020_TYPE matrix drm/amd/display: Calculate output_color_space after pixel encoding adjustment drm/amd/display: Fix set scaling doesn's work drm/i915: Remove unused variable drm/i915: Allow switching away via vga-switcheroo if uninitialized drm/i915/display: Check source height is > 0 drm/i915: re-disable RC6p on Sandy Bridge drm/amdgpu: Correct the power calcultion for Renior/Cezanne. drm/amdgpu: allow multipipe policy on ASICs with one MEC drm/amdgpu: fix amdgpu_job_free_resources v2 ARM: omap1: fix !ARCH_OMAP1_ANY link failures ARM: dts: qcom: apq8084-ifc6540: fix overriding SDHCI VMCI: Use threaded irqs instead of tasklets mei: me: add meteor lake point M DID mei: bus: fix unlink on bus in error path gsmi: fix null-deref in gsmi_get_variable serial: exar: Add support for Sealevel 7xxxC serial cards serial: atmel: fix incorrect baudrate setup serial: amba-pl011: fix high priority character transmission in rs486 mode dmaengine: idxd: Do not call DMX TX callbacks during workqueue disable dmaengine: idxd: Prevent use after free on completion memory dmaengine: idxd: Let probe fail when workqueue cannot be enabled dmaengine: tegra210-adma: fix global intr clear dmaengine: lgm: Move DT parsing after initialization serial: pch_uart: Pass correct sg to dma_unmap_sg() dt-bindings: phy: g12a-usb3-pcie-phy: fix compatible string documentation dt-bindings: phy: g12a-usb2-phy: fix compatible string documentation arm64: dts: imx8mp: correct usb clocks usb-storage: apply IGNORE_UAS only for HIKSEMI MD202 on RTL9210 usb: gadget: f_ncm: fix potential NULL ptr deref in ncm_bitrate() USB: gadget: Add ID numbers to configfs-gadget driver names usb: gadget: g_webcam: Send color matching descriptor per frame usb: typec: altmodes/displayport: Fix pin assignment calculation usb: typec: altmodes/displayport: Add pin assignment helper usb: typec: tcpm: Fix altmode re-registration causes sysfs create fail usb: musb: fix error return code in omap2430_probe() usb: host: ehci-fsl: Fix module alias usb: cdns3: remove fetched trb from cache before dequeuing USB: serial: cp210x: add SCALANCE LPE-9000 device id USB: gadgetfs: Fix race between mounting and unmounting selftests: mptcp: userspace: validate v4-v6 subflows mix mptcp: netlink: respect v4/v6-only sockets mptcp: explicitly specify sock family at subflow creation time io_uring/poll: don't reissue in case of poll race on multishot request pktcdvd: check for NULL returna fter calling bio_split_to_limits() tty: fix possible null-ptr-defer in spk_ttyio_release tty: serial: qcom-geni-serial: fix slab-out-of-bounds on RX FIFO buffer bpf: restore the ebpf program ID for BPF_AUDIT_UNLOAD and PERF_BPF_EVENT_PROG_UNLOAD riscv: dts: sifive: fu740: fix size of pcie 32bit memory thunderbolt: Do not call PM runtime functions in tb_retimer_scan() thunderbolt: Do not report errors if on-board retimers are found thunderbolt: Use correct function to calculate maximum USB3 link rate thunderbolt: Disable XDomain lane 1 only in software connection manager cifs: do not include page data when checking signature btrfs: fix race between quota rescan and disable leading to NULL pointer deref btrfs: fix invalid leaf access due to inline extent during lseek btrfs: qgroup: do not warn on record without old_roots populated btrfs: do not abort transaction on failure to update log root btrfs: do not abort transaction on failure to write log tree when syncing log btrfs: add missing setup of log for full commit at add_conflicting_inode() btrfs: fix directory logging due to race with concurrent index key deletion btrfs: fix missing error handling when logging directory items btrfs: add extra error messages to cover non-ENOMEM errors from device_add_list() mm/MADV_COLLAPSE: don't expand collapse when vm_end is past requested end mm/userfaultfd: enable writenotify while userfaultfd-wp is enabled for a VMA mm/hugetlb: pre-allocate pgtable pages for uffd wr-protects mm/hugetlb: fix uffd-wp handling for migration entries in hugetlb_change_protection() mm/hugetlb: fix PTE marker handling in hugetlb_change_protection() mmc: sdhci-esdhc-imx: correct the tuning start tap and step setting mmc: sunxi-mmc: Fix clock refcount imbalance during unbind ACPI: PRM: Check whether EFI runtime is available comedi: adv_pci1760: Fix PWM instruction handling usb: core: hub: disable autosuspend for TI TUSB8041 misc: fastrpc: Fix use-after-free race condition for maps misc: fastrpc: Don't remove map on creater_process and device_release misc: fastrpc: Fix use-after-free and race in fastrpc_map_find usb: misc: onboard_hub: Move 'attach' work to the driver usb: misc: onboard_hub: Invert driver registration order USB: misc: iowarrior: fix up header size for USB_DEVICE_ID_CODEMERCS_IOW100 staging: vchiq_arm: fix enum vchiq_status return types USB: serial: option: add Quectel EM05CN modem USB: serial: option: add Quectel EM05CN (SG) modem USB: serial: option: add Quectel EC200U modem USB: serial: option: add Quectel EM05-G (RS) modem USB: serial: option: add Quectel EM05-G (CS) modem USB: serial: option: add Quectel EM05-G (GR) modem prlimit: do_prlimit needs to have a speculation check xhci: Detect lpm incapable xHC USB3 roothub ports from ACPI tables usb: acpi: add helper to check port lpm capability using acpi _DSM xhci: Add a flag to disable USB3 lpm on a xhci root port level. xhci: Add update_hub_device override for PCI xHCI hosts xhci: Fix null pointer dereference when host dies usb: xhci: Check endpoint is valid before dereferencing it xhci-pci: set the dma max_seg_size Revert "serial: stm32: Merge hard IRQ and threaded IRQ handling into single IRQ handler" serial: stm32: Merge hard IRQ and threaded IRQ handling into single IRQ handler mm/khugepaged: fix collapse_pte_mapped_thp() to allow anon_vma hugetlb: unshare some PMDs when splitting VMAs mm/shmem: restore SHMEM_HUGE_DENY precedence over MADV_COLLAPSE nilfs2: fix general protection fault in nilfs_btree_insert() zonefs: Detect append writes at invalid locations LoongArch: Add HWCAP_LOONGARCH_CPUCFG to elf_hwcap Add exception protection processing for vd in axi_chan_handle_err function proc: fix PIE proc-empty-vm, proc-pid-vm tests nommu: fix split_vma() map_count error nommu: fix do_munmap() error path nommu: fix memory leak in do_mmap() error path wifi: mac80211: fix initialization of rx->link and rx->link_sta wifi: mac80211: sdata can be NULL during AMPDU start wifi: mac80211: reset multiple BSSID options in stop_ap() wifi: mac80211: fix MLO + AP_VLAN check wifi: brcmfmac: fix regression for Broadcom PCIe wifi devices Bluetooth: hci_qca: Fix driver shutdown on closed serdev Bluetooth: hci_sync: Fix use HCI_OP_LE_READ_BUFFER_SIZE_V2 fbdev: omapfb: avoid stack overflow warning of: fdt: Honor CONFIG_CMDLINE* even without /chosen node, take 2 perf/x86/rapl: Add support for Intel Emerald Rapids perf/x86/rapl: Add support for Intel Meteor Lake memblock tests: Fix compilation error. cifs: fix race in assemble_neg_contexts() perf/x86/rapl: Treat Tigerlake like Icelake f2fs: let's avoid panic if extent_tree is not created x86/asm: Fix an assembler warning with current binutils btrfs: always report error in run_one_delayed_ref() selftests: net: fix cmsg_so_mark.sh test hang RDMA/srp: Move large values to a new enum for gcc13 bpf: keep a reference to the mm, in case the task is dead. r8169: fix dmar pte write access is not set error r8169: move rtl_wol_enable_rx() and rtl_prepare_power_down() net/ethtool/ioctl: return -EOPNOTSUPP if we have no phy stats vdpa_sim_net: should not drop the multicast/broadcast packet vduse: Validate vq_num in vduse_validate_config() virtio_pci: modify ENOENT to EINVAL vdpa/mlx5: Avoid overwriting CVQ iotlb vdpa/mlx5: Avoid using reslock in event_handler vdpa/mlx5: Return error on vlan ctrl commands if not supported tools/virtio: initialize spinlocks in vring_test.c net: ethernet: marvell: octeontx2: Fix uninitialized variable warning selftests/bpf: check null propagation only neither reg is PTR_TO_BTF_ID pNFS/filelayout: Fix coalescing test for single DS wifi: iwlwifi: fw: skip PPAG for JF btrfs: fix trace event name typo for FLUSH_DELAYED_REFS dma-buf: fix dma_buf_export init order v2 Linux 6.1.7 pinctrl: amd: Add dynamic debugging for active GPIOs Revert "usb: ulpi: defer ulpi_register on ulpi_read_id timeout" block: handle bio_split_to_limits() NULL return io_uring/io-wq: only free worker if it was allocated for creation io_uring/io-wq: free worker if task_work creation is canceled drm/i915: Fix CFI violations in gt_sysfs io_uring/poll: attempt request issue after racy poll wakeup io_uring: lock overflowing for IOPOLL efi: fix NULL-deref in init error path ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() platform/x86/amd: Fix refcount leak in amd_pmc_probe platform/surface: aggregator: Add missing call to ssam_request_sync_free() bnxt: make sure we return pages to the pool net: hns3: fix wrong use of rss size during VF rss config net: lan966x: check for ptp to be enabled in lan966x_ptp_deinit() igc: Fix PPS delta between two synchronized end-points perf kmem: Support field "node" in evsel__process_alloc_event() coping with recent tracepoint restructuring perf kmem: Support legacy tracepoints perf build: Properly guard libbpf includes octeontx2-pf: Fix resource leakage in VF driver unbind selftests/net: l2_tos_ttl_inherit.sh: Ensure environment cleanup on failure. selftests/net: l2_tos_ttl_inherit.sh: Run tests in their own netns. selftests/net: l2_tos_ttl_inherit.sh: Set IPv6 addresses with "nodad". net/mlx5e: Fix macsec possible null dereference when updating MAC security entity (SecY) net/mlx5e: Fix macsec ssci attribute handling in offload path net/mlx5e: Don't support encap rules with gbp option net/mlx5: Fix ptp max frequency adjustment range net/mlx5e: IPoIB, Fix child PKEY interface stats on rx path net/mlx5e: IPoIB, Block PKEY interfaces with less rx queues than parent net/mlx5e: IPoIB, Block queue count configuration when sub interfaces are present net/mlx5e: Verify dev is present for fix features ndo net/mlx5: Fix command stats access after free net/mlx5e: TC, Keep mod hdr actions after mod hdr alloc net/mlx5: check attr pointer validity before dereferencing it Revert "r8169: disable detection of chip version 36" net/sched: act_mpls: Fix warning during failed attribute validation drm/vmwgfx: Remove rcu locks from user resources drm/vmwgfx: Remove vmwgfx_hashtab drm/vmwgfx: Refactor ttm reference object hashtable to use linux/hashtable. drm/vmwgfx: Refactor resource validation hashtable to use linux/hashtable implementation. drm/vmwgfx: Remove ttm object hashtable drm/vmwgfx: Refactor resource manager's hashtable to use linux/hashtable implementation. drm/vmwgfx: Write the driver id registers ice: Add check for kzalloc ice: Fix potential memory leak in ice_gnss_tty_write() drm/amdgpu: Fix potential NULL dereference tools/nolibc: fix the O_* fcntl/open macro definitions for riscv tools/nolibc: restore mips branch ordering in the _start block ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE af_unix: selftest: Fix the size of the parameter to connect() gro: take care of DODGY packets gro: avoid checking for a failed search nfc: pn533: Wait for out_urb's completion in pn533_usb_send_frame() hvc/xen: lock console list traversal sched/core: Fix arch_scale_freq_tick() on tickless systems octeontx2-af: Fix LMAC config in cgx_lmac_rx_tx_enable nfsd: fix handling of cached open files in nfsd4_open codepath nfsd: rework refcounting in filecache NFSD: Add an nfsd_file_fsync tracepoint nfsd: reorganize filecache.c nfsd: remove the pages_flushed statistic from filecache NFSD: Add an NFSD_FILE_GC flag to enable nfsd_file garbage collection NFSD: Revert "NFSD: NFSv4 CLOSE should release an nfsd_file immediately" NFSD: Pass the target nfsd_file to nfsd_commit() tipc: fix unexpected link reset due to discovery messages stmmac: dwmac-mediatek: remove the dwmac_fix_mac_speed ALSA: usb-audio: Relax hw constraints for implicit fb sync ALSA: usb-audio: Make sure to stop endpoints before closing EPs mtd: cfi: allow building spi-intel standalone mtd: parsers: scpart: fix __udivdi3 undefined on mips ASoC: wm8904: fix wrong outputs volume after power reactivation drm/msm/dpu: Fix memory leak in msm_mdss_parse_data_bus_icc_path drm/msm/dpu: Fix some kernel-doc comments ASoC: Intel: sof-nau8825: fix module alias overflow ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier ASoC: Intel: fix sof-nau8825 link failure scsi: ufs: core: WLUN suspend SSU/enter hibern8 fail recovery scsi: mpi3mr: Refer CONFIG_SCSI_MPI3MR in Makefile scsi: storvsc: Fix swiotlb bounce buffer leak in confidential VM regulator: da9211: Use irq handler when ready x86/resctrl: Fix event counts regression in reused RMIDs x86/resctrl: Fix task CLOSID/RMID update race x86/pat: Fix pat_x_mtrr_type() for MTRR disabled case EDAC/device: Fix period calculation in edac_device_reset_delay_period() x86/boot: Avoid using Intel mnemonics in AT&T syntax asm powerpc/imc-pmu: Fix use of mutex in IRQs disabled section selftests: netfilter: fix transaction test script timeout handling netfilter: ipset: Fix overflow before widen in the bitmap_ip_create() function. sched/core: Fix use-after-free bug in dup_user_cpus_ptr() iommu/arm-smmu: Report IOMMU_CAP_CACHE_COHERENCY even betterer iommu/arm-smmu: Don't unregister on shutdown iommu/mediatek-v1: Fix an error handling path in mtk_iommu_v1_probe() iommu/arm-smmu-v3: Don't unregister on shutdown iommu/iova: Fix alloc iova overflows issue mm: Always release pages to the buddy allocator in memblock_free_late(). drm/amdgpu: enable VCN DPG for GC IP v11.0.4 drm/amdgpu: Enable pg/cg flags on GC11_0_4 for VCN drm/amdgpu: add soc21 common ip block support for GC 11.0.4 drm/amd/pm: enable GPO dynamic control support for SMU13.0.7 drm/amd/pm: enable GPO dynamic control support for SMU13.0.0 drm/amd/pm: Enable bad memory page/channel recording support for smu v13_0_0 drm/amd/pm: enable mode1 reset on smu_v13_0_10 usb: ulpi: defer ulpi_register on ulpi_read_id timeout drm/i915/gt: Cleanup partial engine discovery failures iavf/iavf_main: actually log ->src mask when talking about it ipv6: raw: Deduct extension header length in rawv6_push_pending_frames ixgbe: fix pci device refcount leak platform/x86: sony-laptop: Don't turn off 0x153 keyboard backlight during probe dt-bindings: msm/dsi: Don't require vcca-supply on 14nm PHY dt-bindings: msm/dsi: Don't require vdds-supply on 10nm PHY drm/msm/dp: do not complete dp_aux_cmd_fifo_tx() if irq is not for aux transfer platform/x86: ideapad-laptop: Add Legion 5 15ARH05 DMI id to set_fn_lock_led_list[] arm64/mm: fix incorrect file_map_count for invalid pmd arm64: ptrace: Use ARM64_SME to guard the SME register enumerations dt-bindings: msm: dsi-phy-28nm: Add missing qcom, dsi-phy-regulator-ldo-mode arm64/mm: add pud_user_exec() check in pud_user_accessible_page() arm64/signal: Always accept SVE signal frames on SME only systems dt-bindings: msm: dsi-controller-main: Fix description of core clock dt-bindings: msm: dsi-controller-main: Fix power-domain constraint arm64/signal: Always allocate SVE signal frames on SME only systems drm/msm/adreno: Make adreno quirks not overwrite each other firmware/psci: Don't register with debugfs if PSCI isn't available firmware/psci: Fix MEM_PROTECT_RANGE function numbers drm/msm: another fix for the headless Adreno GPU dt-bindings: msm: dsi-controller-main: Fix operating-points-v2 constraint platform/x86: dell-privacy: Fix SW_CAMERA_LENS_COVER reporting platform/x86: asus-wmi: Don't load fan curves without fan platform/x86: thinkpad_acpi: Fix profile mode display in AMT mode platform/x86: int3472/discrete: Ensure the clk/power enable pins are in output mode platform/surface: aggregator: Ignore command messages not intended for us platform/x86: dell-privacy: Only register SW_CAMERA_LENS_COVER if present ACPI: video: Allow selecting NVidia-WMI-EC or Apple GMUX backlight from the cmdline ASoC: rt9120: Make dev PM runtime bind AsoC component PM io_uring/fdinfo: include locked hash table in fdinfo output cifs: fix double free on failed kerberos auth cifs: do not query ifaces on smb1 mounts cifs: fix file info setting in cifs_open_file() cifs: fix file info setting in cifs_query_path_info() cifs: Fix uninitialized memory read for smb311 posix symlink create Revert "drm/amdgpu: Revert "drm/amdgpu: getting fan speed pwm for vega10 properly"" drm/amd/display: move remaining FPU code to dml folder drm/amd/pm: add the missing mapping for PPT feature on SMU13.0.0 and 13.0.7 drm/amd/pm: correct the reference clock for fan speed(rpm) calculation drm/amdgpu: Fixed bug on error when unloading amdgpu drm/amd: Delay removal of the firmware framebuffer drm/i915: Fix potential context UAFs drm/i915: Reserve enough fence slot for i915_vma_unbind_async drm/i915/gt: Reset twice drm: Optimize drm buddy top-down allocation method drm/amd/pm/smu13: BACO is supported when it's in BACO state drm/virtio: Fix GEM handle creation UAF s390/percpu: add READ_ONCE() to arch_this_cpu_to_op_simple() s390/cpum_sf: add READ_ONCE() semantics to compare and swap loops cpufreq: amd-pstate: fix kernel hang issue while amd-pstate unregistering elfcore: Add a cprm parameter to elf_core_extra_{phdrs,data_size} ASoC: qcom: lpass-cpu: Fix fallback SD line index handling brcmfmac: Prefer DT board type over DMI board type s390/kexec: fix ipl report address for kdump perf auxtrace: Fix address filter duplicate symbol selection net: stmmac: add aux timestamps fifo clearance wait ACPI: Fix selecting wrong ACPI fwnode for the iGPU on some Dell laptops arm64: cmpxchg_double*: hazard against entire exchange variable arm64: mte: Avoid the racy walk of the vma list during core dump arm64: mte: Fix double-freeing of the temporary tag storage during coredump io_uring/poll: add hash if ready poll request can't complete inline docs: Fix the docs build with Sphinx 6.0 efi: tpm: Avoid READ_ONCE() for accessing the event log efi: fix userspace infinite retry read efivars after EFI runtime services page fault KVM: arm64: Fix S1PTW handling on RO memslots KVM: x86: Do not return host topology information from KVM_GET_SUPPORTED_CPUID ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx ALSA: hda/realtek - Turn on power early ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() ALSA: control-led: use strscpy in set_led_id() Revert "ALSA: usb-audio: Drop superfluous interface setup at parsing" netfilter: nft_payload: incorrect arithmetics when fetching VLAN header bits Linux 6.1.6 ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list ALSA: pcm: Move rwsem lock inside snd_ctl_elem_read to prevent UAF net: sched: disallow noqueue for qdisc classes gcc: disable -Warray-bounds for gcc-11 too Revert "SUNRPC: Use RMW bitops in single-threaded hot paths" selftests/vm/pkeys: Add a regression test for setting PKRU through ptrace x86/fpu: Emulate XRSTOR's behavior if the xfeatures PKRU bit is not set x86/fpu: Allow PKRU to be (once again) written by ptrace. x86/fpu: Add a pkru argument to copy_uabi_to_xstate() x86/fpu: Add a pkru argument to copy_uabi_from_kernel_to_xstate(). x86/fpu: Take task_struct* in copy_sigframe_from_user_to_xstate() parisc: Align parisc MADV_XXX constants with all other architectures Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 13d75c9d..243cb5c5 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ -LINUX_VERSION = "6.1.5" +LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "da7276286c9458ed73202e3a0e8285844558cc9f" +SRCREV = "bd2c73dd60da25dae9f78894f9d96688543abccf" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From f954d5f0f59994ae53446eb98dac8e11d5467787 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Fri, 16 Jun 2023 12:45:36 +0530 Subject: Updated SRCREV of dfx-mgr for 2023.2_3555 README: update and fix errors dfx-mgr: fix flags for external-fpga-config dfx-mgr: check if slot_regs are initialized Signed-off-by: Siva Addepalli Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb b/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb index a74670b7..fd808d50 100644 --- a/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb @@ -9,7 +9,7 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG}" BRANCH = "master" -SRCREV = "5918fb3406d828693cca484b77229ffd031b5dc4" +SRCREV = "d78eac47f17bca4326a4540ff5d2ebea7d9c45ed" SOMAJOR = "1" SOMINOR = "0" SOVERSION = "${SOMAJOR}.${SOMINOR}" -- cgit v1.2.3-54-g00ecf From fcadd7d3da7e9e4d02c966d6d992e9d7dba3b9d9 Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 13 Jun 2023 13:43:59 +0100 Subject: u-boot-xlnx-scr: Add KERNEL_COMMAND_APPEND Allow appending to the kernel command line in boot.scr Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb index 187b01d9..b26f23ff 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb @@ -172,6 +172,9 @@ KERNEL_ROOT_SD ?= "root=/dev/\${bootdev}${PARTNUM} ro rootwait" # Set Kernel root filesystem parameter for JTAG/QSPI/OSPI/NAND(using RAMDISK) boot KERNEL_ROOT_RAMDISK ?= "root=/dev/ram0 rw" +# Append the kernel command line +KERNEL_COMMAND_APPEND ?= "" + BITSTREAM_LOAD_ADDRESS ?= "0x100000" do_configure[noexec] = "1" @@ -231,6 +234,7 @@ do_compile() { -e 's/@@PARTNUM@@/${PARTNUM}/' \ -e 's:@@KERNEL_ROOT_SD@@:${KERNEL_ROOT_SD}:' \ -e 's:@@KERNEL_ROOT_RAMDISK@@:${KERNEL_ROOT_RAMDISK}:' \ + -e 's:@@KERNEL_COMMAND_APPEND@@:${KERNEL_COMMAND_APPEND}:' \ "${WORKDIR}/boot.cmd.${BOOTMODE}${BOOTFILE_EXT}" > "${WORKDIR}/boot.cmd" mkimage -A arm -T script -C none -n "Boot script" -d "${WORKDIR}/boot.cmd" boot.scr sed -e 's/@@KERNEL_IMAGETYPE@@/${KERNEL_IMAGETYPE}/' \ -- cgit v1.2.3-54-g00ecf From 0623e747bc815cbfbf0398279d2d1a0c86d4556f Mon Sep 17 00:00:00 2001 From: Gregory Williams Date: Wed, 14 Jun 2023 08:55:51 -0700 Subject: meta-xilinx-core: Enable full O2 optimizations for ai-engine-driver Remove -fno flags to enable full O2 optimizations for ai-engine-driver build. Signed-off-by: Gregory Williams Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.3.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.3.bb b/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.3.bb index 6a4fd880..47b79c47 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.3.bb +++ b/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.3.bb @@ -25,7 +25,7 @@ RPROVIDES:${PN} = "libxaiengine" # The makefile isn't ready for parallel execution at the moment PARALLEL_MAKE = "-j 1" -CFLAGS += "-Wall -Wextra -fno-thread-jumps -fno-tree-pre" +CFLAGS += "-Wall -Wextra" CFLAGS += "${@bb.utils.contains('IOBACKENDS', 'Linux', ' -D__AIELINUX__', '', d)}" CFLAGS += "${@bb.utils.contains('IOBACKENDS', 'metal', ' -D__AIEMETAL__', '', d)}" EXTRA_OEMAKE = "-C ${AIEDIR}/src -f Makefile.Linux CFLAGS='${CFLAGS}'" -- cgit v1.2.3-54-g00ecf From 568ec9b09dfdf98c3ac96e5735d08a97096acbd4 Mon Sep 17 00:00:00 2001 From: saumya garg Date: Thu, 15 Jun 2023 12:04:52 +0530 Subject: xrt, zocl: Update commit id changelog: Support Linux kernel 6.3+ (#7588) Updated User plugin formatting (#7573) pyxrt updates for windows (#7582) g++12 complains about mismatching unique_ptr type (#7578) Fix memory report crashing on device with no xclbin (#7584) CR-1164853_Linux_Coding_Style_Adjust (#7574) CID 318578-318582 (#7580) Relax user ptr restriction on host only buffer (#7579) install pybind11 in xrtdeps-win22.py (#7538) Vitis-7921 First-class execution buffer (#7572) Change windows typedef of ssize_t to int64_t (#7575) Multislot fixes for multiple PS kernel load (#7558) CR-1161216 - Always enable GCQ's interrupt to allow compatibility between GCQ v1 and v2 (#7566) Get Memory info from ZOCL using xgq for Built in ps kernel (#7564) Signed-off-by: saumya garg Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 4ab9d0e1..914f497a 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -3,6 +3,6 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG}" BRANCH= "master" -SRCREV= "1ac392d0f562613334906c347789173373c09418" +SRCREV= "2b1a65b8f29d9936f865d8aaa9b4575d3bfdbc3f" PV = "202320.2.16.0" -- cgit v1.2.3-54-g00ecf From c8628a55743f5cc0c8ff02b7e634179a4d51ee6d Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 3 Apr 2023 20:47:00 +0000 Subject: meta-microblaze: Remove diffutils bbappend Change is no longer needed, now upstream. Signed-off-by: Mark Hatle (cherry picked from commit ee903da38d5a5d5580cb87cc05cc09983a602d34) Signed-off-by: Mark Hatle --- .../recipes-extended/diffutils/diffutils_%.bbappend | 4 ---- .../diffutils/files/m4-stack-direction-microblaze.patch | 11 ----------- 2 files changed, 15 deletions(-) delete mode 100644 meta-microblaze/recipes-extended/diffutils/diffutils_%.bbappend delete mode 100644 meta-microblaze/recipes-extended/diffutils/files/m4-stack-direction-microblaze.patch diff --git a/meta-microblaze/recipes-extended/diffutils/diffutils_%.bbappend b/meta-microblaze/recipes-extended/diffutils/diffutils_%.bbappend deleted file mode 100644 index c0afc82d..00000000 --- a/meta-microblaze/recipes-extended/diffutils/diffutils_%.bbappend +++ /dev/null @@ -1,4 +0,0 @@ -FILESEXTRAPATHS:append:microblaze := ":${THISDIR}/files" -SRC_URI:append:microblaze = " \ - file://m4-stack-direction-microblaze.patch \ -" diff --git a/meta-microblaze/recipes-extended/diffutils/files/m4-stack-direction-microblaze.patch b/meta-microblaze/recipes-extended/diffutils/files/m4-stack-direction-microblaze.patch deleted file mode 100644 index b8b40fb8..00000000 --- a/meta-microblaze/recipes-extended/diffutils/files/m4-stack-direction-microblaze.patch +++ /dev/null @@ -1,11 +0,0 @@ ---- m4-1.4.19/m4/stack-direction.m4.orig 2021-11-30 13:19:56.005640547 -0800 -+++ m4-1.4.19/m4/stack-direction.m4 2021-11-30 13:20:03.917640741 -0800 -@@ -35,7 +35,7 @@ - m68* | \ - m88k | \ - mcore | \ -- microblaze | \ -+ microblaze* | \ - mips* | \ - mmix | \ - mn10200 | \ -- cgit v1.2.3-54-g00ecf From e5561430e60f2a132a6f7056d72e45bae4542b2c Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Wed, 21 Jun 2023 12:45:11 +0530 Subject: Updated Commit ID sdt-description.tcl: Add check to avoid duplicate menu entries for eth gen_config.py: updating bl33 and dtb load addr based on baseaddr Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index e641aa74..595027f0 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit e641aa74f67867cb127d6431d78af3a76654a391 +Subproject commit 595027f07ed43decd4f68dc7ca27f343b3b31f7c -- cgit v1.2.3-54-g00ecf From bf745fd482cac2d4149a6bad52c0d6e77857a36b Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 21 Jun 2023 12:51:10 +0530 Subject: Updated SRCREV of embeddedsw for 2023.2_6063 qspipsu: Fix code format issues qspipsu: Fix interrupt transfer fail issue dfeccf: Function comment update lib: sw_services: xilfpga: Add example meta-data cortexa9_toolchain: Add toolchain file for cortexa9 processor esw: Remove hardcoded linker script for cortexr5 processor xilplmi: initialised IsKatRan state to false dfemix: Correct comment about gain dfeofdm: State and status upgrades avbuf: Print reference clock frequency being used dfeprach: Configure all trigger parameters in examples Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 304111ef..871f521a 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "24d280717af8875360f9bf903bd3d7a74274ada4" +ESW_REV[2023.2] = "440943de8aec7658fddb1d44e2211df6cf0c928f" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From ecfc108ebf007ddd6d02f8d5012f25daf153cded Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 21 Jun 2023 12:47:00 +0530 Subject: Updated SRCREV of u-boot-xlnx for 2023.2_8771 net: zynq_gem: Don't hardcode the MDC clock divisor spi: zynqmp_qspi: Workaround for small data cache issue spi: zynqmp_qspi: Change flush cache to invalidate cache arm64: versal-net: Add LPD-WWDT to versal-net.dtsi include: dt-bindings: power: Add TCM,RPU nodes for Versal NET arm64: versal: Add DT description for CPM5 Root port for Versal Premium arm64: versal: Add missing DT properties to cpm_pciea arm64: versal: rename CPM interrupt-controller arm64: versal-net: Add support for VNX board arm64: versal: Switch to new wwdt DT binding Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 814b4982..7918e8e7 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "1514a28b4640ef3ac034246cdbfd8099aceac815" +SRCREV = "690954edc5854fca06ed203bf7d7af704d955056" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From aa3e8e81135b0de329060835491eaa3f339e1c30 Mon Sep 17 00:00:00 2001 From: Gregory Williams Date: Mon, 19 Jun 2023 08:42:51 -0700 Subject: meta-xilinx-core: Update ai-engine-driver and aiefal versions Updates ai-engine-driver and aiefal versions for 2023.2 release. Source revision is updated to latest commit of main-aie branch. Signed-off-by: Gregory Williams Signed-off-by: Mark Hatle --- .../recipes-bsp/ai-engine/ai-engine-driver_3.3.bb | 47 ---------------------- .../recipes-bsp/ai-engine/ai-engine-driver_3.4.bb | 47 ++++++++++++++++++++++ meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 4 +- .../recipes-bsp/ai-engine/aiefal_1.4.bb | 33 --------------- .../recipes-bsp/ai-engine/aiefal_1.5.bb | 33 +++++++++++++++ 5 files changed, 82 insertions(+), 82 deletions(-) delete mode 100644 meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.3.bb create mode 100644 meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.4.bb delete mode 100644 meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.4.bb create mode 100644 meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.5.bb diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.3.bb b/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.3.bb deleted file mode 100644 index 47b79c47..00000000 --- a/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.3.bb +++ /dev/null @@ -1,47 +0,0 @@ -SUMMARY = "Xilinx AI Engine runtime" -DESCRIPTION = "This library provides APIs for the runtime support of the Xilinx AI Engine IP" - -require aie-rt.inc - -SECTION = "libs" - -AIEDIR ?= "${S}/driver" -S = "${WORKDIR}/git" -I = "${AIEDIR}/include" - -COMPATIBLE_MACHINE = "^$" -COMPATIBLE_MACHINE:versal-ai-core = "versal-ai-core" -COMPATIBLE_MACHINE:versal-ai-edge = "${SOC_VARIANT_ARCH}" -PV = "3.3" - -IOBACKENDS ?= "Linux" - -DEPENDS = "${@bb.utils.contains('IOBACKENDS', 'metal', 'libmetal', '', d)}" -RDEPENDS:${PN} = "${@bb.utils.contains('IOBACKENDS', 'metal', 'libmetal', '', d)}" - -PROVIDES = "libxaiengine" -RPROVIDES:${PN} = "libxaiengine" - -# The makefile isn't ready for parallel execution at the moment -PARALLEL_MAKE = "-j 1" - -CFLAGS += "-Wall -Wextra" -CFLAGS += "${@bb.utils.contains('IOBACKENDS', 'Linux', ' -D__AIELINUX__', '', d)}" -CFLAGS += "${@bb.utils.contains('IOBACKENDS', 'metal', ' -D__AIEMETAL__', '', d)}" -EXTRA_OEMAKE = "-C ${AIEDIR}/src -f Makefile.Linux CFLAGS='${CFLAGS}'" - - -do_compile(){ - oe_runmake -} - -do_install(){ - install -d ${D}${includedir} - install ${I}/*.h ${D}${includedir}/ - install -d ${D}${includedir}/xaiengine - install ${I}/xaiengine/*.h ${D}${includedir}/xaiengine/ - install -d ${D}${libdir} - cp -dr ${AIEDIR}/src/*.so* ${D}${libdir} -} - -PACKAGE_ARCH:versal-ai-core = "${SOC_VARIANT_ARCH}" diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.4.bb b/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.4.bb new file mode 100644 index 00000000..47b79c47 --- /dev/null +++ b/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.4.bb @@ -0,0 +1,47 @@ +SUMMARY = "Xilinx AI Engine runtime" +DESCRIPTION = "This library provides APIs for the runtime support of the Xilinx AI Engine IP" + +require aie-rt.inc + +SECTION = "libs" + +AIEDIR ?= "${S}/driver" +S = "${WORKDIR}/git" +I = "${AIEDIR}/include" + +COMPATIBLE_MACHINE = "^$" +COMPATIBLE_MACHINE:versal-ai-core = "versal-ai-core" +COMPATIBLE_MACHINE:versal-ai-edge = "${SOC_VARIANT_ARCH}" +PV = "3.3" + +IOBACKENDS ?= "Linux" + +DEPENDS = "${@bb.utils.contains('IOBACKENDS', 'metal', 'libmetal', '', d)}" +RDEPENDS:${PN} = "${@bb.utils.contains('IOBACKENDS', 'metal', 'libmetal', '', d)}" + +PROVIDES = "libxaiengine" +RPROVIDES:${PN} = "libxaiengine" + +# The makefile isn't ready for parallel execution at the moment +PARALLEL_MAKE = "-j 1" + +CFLAGS += "-Wall -Wextra" +CFLAGS += "${@bb.utils.contains('IOBACKENDS', 'Linux', ' -D__AIELINUX__', '', d)}" +CFLAGS += "${@bb.utils.contains('IOBACKENDS', 'metal', ' -D__AIEMETAL__', '', d)}" +EXTRA_OEMAKE = "-C ${AIEDIR}/src -f Makefile.Linux CFLAGS='${CFLAGS}'" + + +do_compile(){ + oe_runmake +} + +do_install(){ + install -d ${D}${includedir} + install ${I}/*.h ${D}${includedir}/ + install -d ${D}${includedir}/xaiengine + install ${I}/xaiengine/*.h ${D}${includedir}/xaiengine/ + install -d ${D}${libdir} + cp -dr ${AIEDIR}/src/*.so* ${D}${libdir} +} + +PACKAGE_ARCH:versal-ai-core = "${SOC_VARIANT_ARCH}" diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 5eeb69d4..421ddc81 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -2,8 +2,8 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" -BRANCH ?= "xlnx_rel_v2023.1" -SRCREV ?= "1ad203de0b7f282b1c0659fd2ae3f218652c7274" +BRANCH ?= "main-aie" +SRCREV ?= "5a63ccdf8d77394bf64b47977a98825329aa18e3" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.4.bb b/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.4.bb deleted file mode 100644 index 641c39a9..00000000 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.4.bb +++ /dev/null @@ -1,33 +0,0 @@ -SUMMARY = "Xilinx AI Engine FAL(Functional Abstraction Layer)" -DESCRIPTION = "AIE FAL provides functional abstraction APIs for runtime support of Xilinx AI Engine IP" - -require aie-rt.inc - -SECTION = "devel" - -XAIEFAL_DIR ?= "fal" -S = "${WORKDIR}/git" - -COMPATIBLE_MACHINE = "^$" -COMPATIBLE_MACHINE:versal-ai-core = "versal-ai-core" -COMPATIBLE_MACHINE:versal-ai-edge = "${SOC_VARIANT_ARCH}" - -IOBACKENDS ?= "Linux" - -PROVIDES = "aiefal" -ALLOW_EMPTY:${PN} = "1" - -inherit pkgconfig cmake - -DEPENDS = "libxaiengine" - -OECMAKE_SOURCEPATH = "${S}/${XAIEFAL_DIR}" - -EXTRA_OECMAKE = "-DWITH_TESTS=OFF " -EXTRA_OECMAKE:append = "${@'-DWITH_EXAMPLES=ON' if d.getVar('WITH_EXAMPLES') == 'y' else '-DWITH_EXAMPLES=OFF'}" - -FILES:${PN}-demos = " \ - ${bindir}/* \ -" - -PACKAGE_ARCH:versal-ai-core = "${SOC_VARIANT_ARCH}" diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.5.bb b/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.5.bb new file mode 100644 index 00000000..641c39a9 --- /dev/null +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.5.bb @@ -0,0 +1,33 @@ +SUMMARY = "Xilinx AI Engine FAL(Functional Abstraction Layer)" +DESCRIPTION = "AIE FAL provides functional abstraction APIs for runtime support of Xilinx AI Engine IP" + +require aie-rt.inc + +SECTION = "devel" + +XAIEFAL_DIR ?= "fal" +S = "${WORKDIR}/git" + +COMPATIBLE_MACHINE = "^$" +COMPATIBLE_MACHINE:versal-ai-core = "versal-ai-core" +COMPATIBLE_MACHINE:versal-ai-edge = "${SOC_VARIANT_ARCH}" + +IOBACKENDS ?= "Linux" + +PROVIDES = "aiefal" +ALLOW_EMPTY:${PN} = "1" + +inherit pkgconfig cmake + +DEPENDS = "libxaiengine" + +OECMAKE_SOURCEPATH = "${S}/${XAIEFAL_DIR}" + +EXTRA_OECMAKE = "-DWITH_TESTS=OFF " +EXTRA_OECMAKE:append = "${@'-DWITH_EXAMPLES=ON' if d.getVar('WITH_EXAMPLES') == 'y' else '-DWITH_EXAMPLES=OFF'}" + +FILES:${PN}-demos = " \ + ${bindir}/* \ +" + +PACKAGE_ARCH:versal-ai-core = "${SOC_VARIANT_ARCH}" -- cgit v1.2.3-54-g00ecf From 921325edd7d22bfa49ae3ba640731651448be6d7 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 19 Jun 2023 17:01:24 -0500 Subject: ai-engine-driver & aiefal: Fix ai-edge PACKAGE_ARCH This was missed by the original commit that added ai-edge support. Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.4.bb | 2 ++ meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.5.bb | 2 ++ 2 files changed, 4 insertions(+) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.4.bb b/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.4.bb index 47b79c47..e364462b 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.4.bb +++ b/meta-xilinx-core/recipes-bsp/ai-engine/ai-engine-driver_3.4.bb @@ -45,3 +45,5 @@ do_install(){ } PACKAGE_ARCH:versal-ai-core = "${SOC_VARIANT_ARCH}" +PACKAGE_ARCH:versal-ai-edge = "${SOC_VARIANT_ARCH}" + diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.5.bb b/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.5.bb index 641c39a9..6ac86a1b 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.5.bb +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aiefal_1.5.bb @@ -31,3 +31,5 @@ FILES:${PN}-demos = " \ " PACKAGE_ARCH:versal-ai-core = "${SOC_VARIANT_ARCH}" +PACKAGE_ARCH:versal-ai-edge = "${SOC_VARIANT_ARCH}" + -- cgit v1.2.3-54-g00ecf From cdaf7fe497b1c62e0463fa7eb6137a0d304cbef5 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 19 Jun 2023 12:16:04 -0700 Subject: meta-xilinx-core: Fix READEME references to LICENSE_FLAGS_ACCEPTED Signed-off-by: Mark Hatle --- meta-xilinx-core/README.md | 4 ++-- meta-xilinx-core/README.qemu.md | 4 ++-- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/meta-xilinx-core/README.md b/meta-xilinx-core/README.md index 407075a2..ec5b663b 100644 --- a/meta-xilinx-core/README.md +++ b/meta-xilinx-core/README.md @@ -60,11 +60,11 @@ In order to use recipes that rely on restricted content the `xilinx` license flag must be white-listed in the build configuration (e.g. `local.conf`). This can be done on a per package basis: - LICENSE_FLAGS_WHITELIST += "xilinx_pmu-rom-native" + LICENSE_FLAGS_ACCEPTED += "xilinx_pmu-rom-native" or generally: - LICENSE_FLAGS_WHITELIST += "xilinx" + LICENSE_FLAGS_ACCEPTED += "xilinx" Generally speaking Xilinx content that is provided as a restricted download cannot be obtained without a Xilinx account, in order to use this content you diff --git a/meta-xilinx-core/README.qemu.md b/meta-xilinx-core/README.qemu.md index d3a02a90..941fa937 100644 --- a/meta-xilinx-core/README.qemu.md +++ b/meta-xilinx-core/README.qemu.md @@ -10,11 +10,11 @@ The PMU ROM is available for download, and a specific recipe has been created to make this available: pmu-rom-native. The license on the software is Xilinx proprietary, so you may be required to -enable the approprate LICENSE_FLAGS_WHITELIST to trigger the download. +enable the approprate LICENSE_FLAGS_ACCEPTED to trigger the download. The license itself is available within the download at the URL referred to in meta-xilinx-core/recipes-bsp/pmu-firmware/pmu-rom-native_2022.2.bb. Add the following to your local.conf to acknowledge you accept the proprietary xilinx license. - LICENSE_FLAGS_WHITELIST:append = " xilinx" + LICENSE_FLAGS_ACCEPTED:append = " xilinx" -- cgit v1.2.3-54-g00ecf From c8751de878c0ea341faff089d0e16decbf6176c9 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 19 Jun 2023 14:44:38 -0700 Subject: dt-processor.sh: Define dts subdirectory differently The generated DTS files will be installed into the same directory as the automatically generated machine name. This provides a method for the user to specify an alternative directory name instead. Note, if the machine name is defined by the user, the dts directory is not changed from the default without using this additional argument. Signed-off-by: Mark Hatle --- .../recipes-core/meta/files/dt-processor.sh | 58 ++++++++++++---------- 1 file changed, 32 insertions(+), 26 deletions(-) diff --git a/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh b/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh index 6482a9bf..c51e209c 100755 --- a/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh +++ b/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh @@ -40,6 +40,7 @@ $0 [-o ] Generate overlay dts [-e ] Apply a partial overlay [-m ] The name of the machine .conf to generate + [-D ] Directory to place DTS files in (usually auto detected from DTS) [-t ] Machine type: zynqmp or versal (usually auto detected) [-v ] SOC Variant: cg, dr, eg, ev, ai-prime, premium (usually auto detected) [-p ] Path to psu_init files, defaults to system_dts path @@ -55,7 +56,7 @@ parse_args() { [ $# -eq 0 ] && usage [ $1 = "--help" ] && usage - while getopts ":c:s:d:o:e:m:l:hP:p:i:t:v:" opt; do + while getopts ":c:s:d:o:e:m:D:l:hP:p:i:t:v:" opt; do case ${opt} in c) config_dir=$OPTARG ;; s) system_dts=$OPTARG ;; @@ -63,6 +64,7 @@ parse_args() { d) domain_file=$OPTARG ;; e) external_fpga=$OPTARG ;; m) mach_conf=$OPTARG ; mach_conf=${mach_conf%%.conf} ;; + D) dts_name=$OPTARG ;; t) machine=$OPTARG ;; v) soc_variant=$OPTARG ;; p) psu_init_path=$OPTARG ;; @@ -200,7 +202,7 @@ cortex_a53_linux() { # Check if it is overlay dts otherwise just create linux dts ( - cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" + cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" if [ "${overlay_dts}" = "true" ]; then if [ "${external_fpga}" = "true" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f "${system_dts}" -- xlnx_overlay_dt ${machine} full \ @@ -233,7 +235,7 @@ cortex_a53_linux() { ## Generate a multiconfig cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" TMPDIR = "\${BASE_TMPDIR}/tmp-${mc_name}" EOF @@ -269,7 +271,7 @@ cortex_a53_baremetal() { # Build device tree ( - cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" + cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" if [ -n "${domain_file}" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-a53-imux.dts" "${system_dts}" "${dts_file}" \ @@ -310,7 +312,7 @@ EOF cat /dev/null >"${conf_file}" fi cat <>"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexa53" @@ -336,7 +338,7 @@ cortex_a53_freertos() { # Build device tree ( - cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" + cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" if [ -n "${domain_file}" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-a53-imux.dts" "${system_dts}" "${dts_file}" \ @@ -362,7 +364,7 @@ cortex_a53_freertos() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexa53" @@ -397,7 +399,7 @@ cortex_a72_linux() { fi ( - cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" + cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" # Check if it is overlay dts otherwise just create linux dts if [ "${overlay_dts}" = "true" ]; then # As there is no partial support on Versal, As per fpga manager implementation there is @@ -433,7 +435,7 @@ cortex_a72_linux() { ## Generate a multiconfig cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" TMPDIR = "\${BASE_TMPDIR}/tmp-${mc_name}" EOF @@ -454,7 +456,7 @@ cortex_a72_baremetal() { # Build device tree ( - cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" + cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" if [ -n "${domain_file}" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-a72-imux.dts" "${system_dts}" "${dts_file}" \ @@ -480,7 +482,7 @@ cortex_a72_baremetal() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexa72" @@ -506,7 +508,7 @@ cortex_a72_freertos() { # Build device tree ( - cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" + cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" if [ -n "${domain_file}" ]; then LOPPER_DTC_FLAGS="-b 0 -@" lopper -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-a72-imux.dts" "${system_dts}" "${dts_file}" \ @@ -532,7 +534,7 @@ cortex_a72_freertos() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexa72" @@ -573,7 +575,7 @@ cortex_r5_baremetal() { # Build device tree ( - cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" + cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" if [ -n "$domain_file" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-r5-imux.dts" "${system_dts}" "${dts_file}" \ @@ -613,7 +615,7 @@ EOF cat /dev/null >"${conf_file}" fi cat <>"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexr5" @@ -639,7 +641,7 @@ cortex_r5_freertos() { # Build device tree ( - cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" + cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" if [ -n "$domain_file" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-r5-imux.dts" "${system_dts}" "${dts_file}" \ @@ -665,7 +667,7 @@ cortex_r5_freertos() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexr5" @@ -685,7 +687,7 @@ process_microblaze() { mkdir -p machine/include/${mach_conf} ( - cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" + cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -i "${lops_dir}/lop-microblaze-yocto.dts" "${system_dts}" \ || error "lopper failed" rm -f lop-microblaze-yocto.dts.dtb @@ -715,7 +717,7 @@ pmu-microblaze() { # Build device tree ( - cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" + cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f "${system_dts}" "${dts_file}" || error "lopper failed" ) @@ -733,7 +735,7 @@ pmu-microblaze() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" ESW_MACHINE = "$1" @@ -766,7 +768,7 @@ pmc-microblaze() { # Build device tree ( - cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" + cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f "${system_dts}" "${dts_file}" || error "lopper failed" ) @@ -784,7 +786,7 @@ pmc-microblaze() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" ESW_MACHINE = "$1" @@ -817,7 +819,7 @@ psm-microblaze() { # Build device tree ( - cd dts/${mach_conf} || error "Unable to cd to dts/${mach_conf} dir" + cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f "${system_dts}" "${dts_file}" || error "lopper failed" ) @@ -835,7 +837,7 @@ psm-microblaze() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${mach_conf}/${dts_file}" +CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" ESW_MACHINE = "$1" @@ -891,7 +893,7 @@ EOF cat <>"${conf_file}" # Set the default (linux) domain device tree -CONFIG_DTFILE ?= "\${TOPDIR}/conf/dts/${mach_conf}/${system_conf}" +CONFIG_DTFILE ?= "\${TOPDIR}/conf/dts/${dts_name}/${system_conf}" CONFIG_DTFILE[vardepsexclude] += "TOPDIR" require conf/machine/${incmachine} @@ -1172,6 +1174,10 @@ if [ -z "${mach_conf}" ]; then mach_conf=${local_mach_conf} fi +if [ -z "${dts_name}" ]; then + dts_name=${local_mach_conf} +fi + # Generate CPU list ( cd dts || error "Unable to cd to dts dir" @@ -1183,7 +1189,7 @@ fi detect_machine # Now that we know the machine name, we can create the directory mkdir -p machine/include/${mach_conf} -mkdir -p dts/${mach_conf} +mkdir -p dts/${dts_name} echo "System Configuration:" echo "MODEL = \"${model}\"" -- cgit v1.2.3-54-g00ecf From 1beca9030170ed6bd86d51654adfbdb2673e1d6d Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 19 Jun 2023 14:44:39 -0700 Subject: dt-processor.sh: Add -r option to defined required (inherited) [-r ] This machine will be required, instead of the generic machine if defined For example: dt-processor.sh -m xilinx-zynqmp-sc-revb -r eval-brd-sc-zynqmp.conf This will create a new machine 'xilinx-zynqmp-sc-revb' which will generate: require conf/machine/eval-brd-sc-zynqmp.conf The value of -r is not verified, but is designed to allow for arbitrary values such as: -r include/kria-k24-generic.inc Signed-off-by: Mark Hatle --- .../recipes-core/meta/files/dt-processor.sh | 12 +++++++++--- 1 file changed, 9 insertions(+), 3 deletions(-) diff --git a/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh b/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh index c51e209c..c1be40b0 100755 --- a/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh +++ b/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh @@ -43,6 +43,7 @@ $0 [-D ] Directory to place DTS files in (usually auto detected from DTS) [-t ] Machine type: zynqmp or versal (usually auto detected) [-v ] SOC Variant: cg, dr, eg, ev, ai-prime, premium (usually auto detected) + [-r ] This machine will be required, instead of the generic machine if defined [-p ] Path to psu_init files, defaults to system_dts path [-i ] Path to the pdi file [-l ] write local.conf changes to this file @@ -56,7 +57,7 @@ parse_args() { [ $# -eq 0 ] && usage [ $1 = "--help" ] && usage - while getopts ":c:s:d:o:e:m:D:l:hP:p:i:t:v:" opt; do + while getopts ":c:s:d:o:e:m:D:l:hP:p:i:t:v:r:" opt; do case ${opt} in c) config_dir=$OPTARG ;; s) system_dts=$OPTARG ;; @@ -67,6 +68,7 @@ parse_args() { D) dts_name=$OPTARG ;; t) machine=$OPTARG ;; v) soc_variant=$OPTARG ;; + r) incmachine=$OPTARG ;; p) psu_init_path=$OPTARG ;; i) pdi_path=$OPTARG ;; l) localconf=$OPTARG ;; @@ -136,11 +138,15 @@ detect_machine() { soc_variant="hbm" ;; # Special Case Starter Kit SOMs xck26) - incmachine="k26-smk.conf" + if [ -z "${incmachine}" ]; then + incmachine="k26-smk.conf" + fi machine="zynqmp" soc_variant="ev" ;; xck24) - incmachine="k24-smk.conf" + if [ -z "${incmachine}" ]; then + incmachine="k24-smk.conf" + fi machine="zynqmp" soc_variant="eg" ;; esac -- cgit v1.2.3-54-g00ecf From 6fd3d3532311675a7074cbbd4efe1683ead6624b Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 19 Jun 2023 14:44:40 -0700 Subject: dt-processor.sh: Add -O option to allow the user to add overrides Additional MACHINEOVERRIDES can be automatically appeneded by using the -O option. No validation is preformed, but the value is used in the generated content. For example: dt-processor.sh .... -O override1:override2 The generated content will be: MACHINEOVERRDIES .= ":override1:override2" Signed-off-by: Mark Hatle --- .../recipes-core/meta/files/dt-processor.sh | 8 +++++++- 1 file changed, 7 insertions(+), 1 deletion(-) diff --git a/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh b/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh index c1be40b0..376785f3 100755 --- a/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh +++ b/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh @@ -44,6 +44,7 @@ $0 [-t ] Machine type: zynqmp or versal (usually auto detected) [-v ] SOC Variant: cg, dr, eg, ev, ai-prime, premium (usually auto detected) [-r ] This machine will be required, instead of the generic machine if defined + [-O ] Optional, can add additional overrides to the generated machine [-p ] Path to psu_init files, defaults to system_dts path [-i ] Path to the pdi file [-l ] write local.conf changes to this file @@ -57,7 +58,7 @@ parse_args() { [ $# -eq 0 ] && usage [ $1 = "--help" ] && usage - while getopts ":c:s:d:o:e:m:D:l:hP:p:i:t:v:r:" opt; do + while getopts ":c:s:d:o:e:m:D:l:hP:p:i:t:v:r:O:" opt; do case ${opt} in c) config_dir=$OPTARG ;; s) system_dts=$OPTARG ;; @@ -69,6 +70,7 @@ parse_args() { t) machine=$OPTARG ;; v) soc_variant=$OPTARG ;; r) incmachine=$OPTARG ;; + O) overrides=$OPTARG ;; p) psu_init_path=$OPTARG ;; i) pdi_path=$OPTARG ;; l) localconf=$OPTARG ;; @@ -883,6 +885,10 @@ MACHINEOVERRIDES =. "\${@['', '${mach_conf}:']['${mach_conf}' != '\${MACHINE}']} EOF + if [ -n "${overrides}" ]; then + echo "MACHINEOVERRIDES .= \":${overrides}\"" + fi + if [ "${machine}" == "zynqmp" ]; then cat <>"${conf_file}" TUNEFILE[microblaze-pmu] = "conf/machine/include/${mach_conf}/microblaze.inc" -- cgit v1.2.3-54-g00ecf From 141399fa45667f852bb606055a54305c2f35f503 Mon Sep 17 00:00:00 2001 From: Neel Gandhi Date: Tue, 20 Jun 2023 19:37:38 +0530 Subject: vcu: Update recipes to keep makefiles compatible with original vcu patches Install vcu-omx-il and ctrl-sw application executables with the help of makefiles instead of build recipes by providing INSTALL_PATH macro to the makefile, so that vcu makefiles will be compatible with original files. kernel-module-vcu change: dmaproxy: Fix leak in case of DMA channel is not available libomxil-xlnx changes: Revert "Update name of omx test application binaries" Makefile: Enable install rules libvcu-xlnx changes: chore: update to revision 20230530 Makefile: Copy executables from bin directory vcu-firmware change: chore: update to revision 20230530 Signed-off-by: Neel Gandhi Signed-off-by: Mark Hatle --- .../recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb | 2 +- meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb | 5 ++--- meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb | 7 ++----- meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb | 2 +- 4 files changed, 6 insertions(+), 10 deletions(-) diff --git a/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb index 007e473b..7f2b205f 100644 --- a/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb @@ -13,7 +13,7 @@ FILESEXTRAPATHS:prepend := "${THISDIR}/files:" BRANCH = "master" REPO = "git://github.com/Xilinx/vcu-modules.git;protocol=https" -SRCREV = "b7664198e562afa3e2c96174b6e746f3770672f1" +SRCREV = "689c8d823b383e2a8a5249be49de627f866cfaf2" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = " \ diff --git a/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb index 7902ec6d..80d0155e 100644 --- a/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb @@ -8,7 +8,7 @@ PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', BRANCH ?= "master" REPO ?= "git://github.com/Xilinx/vcu-omx-il.git;protocol=https" -SRCREV = "10531499e0361b1ce97e0214ffa4e4b32887565e" +SRCREV = "3a04b5adc661a0eced626c1373dbbfe699ae6fe0" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" @@ -37,8 +37,7 @@ do_install() { install -m 0644 ${S}/omx_header/*.h ${D}${includedir}/vcu-omx-il - install -Dm 0755 ${S}/bin/omx_decoder ${D}/${bindir}/omx_decoder - install -Dm 0755 ${S}/bin/omx_encoder ${D}/${bindir}/omx_encoder + oe_runmake install INSTALL_PATH=${D}${bindir} oe_libinstall -C ${S}/bin/ -so libOMX.allegro.core ${D}/${libdir}/ oe_libinstall -C ${S}/bin/ -so libOMX.allegro.video_decoder ${D}/${libdir}/ diff --git a/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb index 0c0548d7..fdadca3f 100644 --- a/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb @@ -8,7 +8,7 @@ PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', BRANCH ?= "master" REPO ?= "git://github.com/Xilinx/vcu-ctrl-sw.git;protocol=https" -SRCREV = "5cff15b1f76e10e727798967428030af9c8a9a33" +SRCREV = "84b0856cad7844d69f57ac4d9447c20930875475" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" @@ -27,10 +27,7 @@ do_install() { install -d ${D}${libdir} install -d ${D}${includedir}/vcu-ctrl-sw/include - install -Dm 0755 ${S}/bin/ctrlsw_encoder ${D}/${bindir}/ctrlsw_encoder - install -Dm 0755 ${S}/bin/ctrlsw_decoder ${D}/${bindir}/ctrlsw_decoder - - oe_runmake install_headers INSTALL_HDR_PATH=${D}${includedir}/vcu-ctrl-sw/include + oe_runmake install_headers INSTALL_HDR_PATH=${D}${includedir}/vcu-ctrl-sw/include INSTALL_PATH=${D}/${bindir} oe_libinstall -C ${S}/bin/ -so liballegro_decode ${D}/${libdir}/ oe_libinstall -C ${S}/bin/ -so liballegro_encode ${D}/${libdir}/ } diff --git a/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb index 837a06b3..4ab139fc 100644 --- a/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb @@ -10,7 +10,7 @@ S = "${WORKDIR}/git" BRANCH ?= "master" REPO ?= "git://github.com/Xilinx/vcu-firmware.git;protocol=https" -SRCREV = "535a1a4101d3231cd59521f7cdd871d091a4cc39" +SRCREV = "f4ab98d26aa3e244a487f518f5a76071137c8402" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" -- cgit v1.2.3-54-g00ecf From dbf4c75a8f10b4cde77a9436fc37245ddeedaec1 Mon Sep 17 00:00:00 2001 From: Ben Levinsky Date: Tue, 20 Jun 2023 12:41:31 -0700 Subject: open-amp: update commit ID to latest Use latest commit for Xilinx OpenAMP Repo apps: zynqmp: Add Versal_net IPI values. openamp: fix remoteproc_load_noblock hasn't update rsc_io version: use generated version_def.h zynq7, microblaze resource table: virtio notifyid Signed-off-by: Ben Levinsky Signed-off-by: Mark Hatle --- .../openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb index d655d9ac..0392bd86 100644 --- a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb @@ -1,5 +1,5 @@ SRCBRANCH ?= "2023.2" -SRCREV = "c8aaf2f26d5493f492f0af09dd558d45908636da" +SRCREV = "e95b02aef72a21039b1d109087788d4675475813" BRANCH = "2023" LIC_FILES_CHKSUM ?= "file://LICENSE.md;md5=ab88daf995c0bd0071c2e1e55f3d3505" PV = "${SRCBRANCH}+git${SRCPV}" -- cgit v1.2.3-54-g00ecf From 1f370d5111c2e29ede37eafeff4f865408627479 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 22 Jun 2023 12:50:56 +0530 Subject: Updated SRCREV of aie-rt for 2023.2_6779 fal: data: Update version to 1.4 driver: src: Update minor version for 2023.1 driver: src: global: Defined type for XAieDevType driver: src: Add API to read DMA Bd metadata driver: src: io_backend: Add workaround to fix trace timeline Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 421ddc81..7737e625 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "5a63ccdf8d77394bf64b47977a98825329aa18e3" +SRCREV ?= "1ad203de0b7f282b1c0659fd2ae3f218652c7274" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From f9640a931ad9502e000e3b931a2b2b2403076a5e Mon Sep 17 00:00:00 2001 From: Manikanta Sreeram Date: Thu, 22 Jun 2023 08:40:34 -0600 Subject: Revert "Updated SRCREV of aie-rt for 2023.2_6779" This reverts commit 1f370d5111c2e29ede37eafeff4f865408627479. --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 7737e625..421ddc81 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "1ad203de0b7f282b1c0659fd2ae3f218652c7274" +SRCREV ?= "5a63ccdf8d77394bf64b47977a98825329aa18e3" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From 79a27b96380290ce42a101ec046f8b227f2e7a07 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Wed, 21 Jun 2023 17:26:00 -0500 Subject: dt-processor.sh: All dts_path to be relative or absolute When the dts_path is relative, it's relative from /conf/dts Note, using an absolute path may affect some recipe hashes, preventing sstate-cache re-use by other users. Signed-off-by: Mark Hatle --- .../recipes-core/meta/files/dt-processor.sh | 70 +++++++++++++--------- 1 file changed, 41 insertions(+), 29 deletions(-) diff --git a/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh b/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh index 376785f3..9a76ad97 100755 --- a/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh +++ b/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh @@ -40,7 +40,7 @@ $0 [-o ] Generate overlay dts [-e ] Apply a partial overlay [-m ] The name of the machine .conf to generate - [-D ] Directory to place DTS files in (usually auto detected from DTS) + [-D ] Absolute path or subdirectory of conf/dts to place DTS files in (usually auto detected from DTS) [-t ] Machine type: zynqmp or versal (usually auto detected) [-v ] SOC Variant: cg, dr, eg, ev, ai-prime, premium (usually auto detected) [-r ] This machine will be required, instead of the generic machine if defined @@ -66,7 +66,7 @@ parse_args() { d) domain_file=$OPTARG ;; e) external_fpga=$OPTARG ;; m) mach_conf=$OPTARG ; mach_conf=${mach_conf%%.conf} ;; - D) dts_name=$OPTARG ;; + D) dts_path=$OPTARG ;; t) machine=$OPTARG ;; v) soc_variant=$OPTARG ;; r) incmachine=$OPTARG ;; @@ -210,7 +210,7 @@ cortex_a53_linux() { # Check if it is overlay dts otherwise just create linux dts ( - cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" + cd ${dts_path} || error "Unable to cd to ${dts_path} dir" if [ "${overlay_dts}" = "true" ]; then if [ "${external_fpga}" = "true" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f "${system_dts}" -- xlnx_overlay_dt ${machine} full \ @@ -243,7 +243,7 @@ cortex_a53_linux() { ## Generate a multiconfig cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" +CONFIG_DTFILE = "${dts_file_path}/${dts_file}" TMPDIR = "\${BASE_TMPDIR}/tmp-${mc_name}" EOF @@ -279,7 +279,7 @@ cortex_a53_baremetal() { # Build device tree ( - cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" + cd ${dts_path} || error "Unable to cd to ${dts_path} dir" if [ -n "${domain_file}" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-a53-imux.dts" "${system_dts}" "${dts_file}" \ @@ -320,7 +320,7 @@ EOF cat /dev/null >"${conf_file}" fi cat <>"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" +CONFIG_DTFILE = "${dts_file_path}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexa53" @@ -346,7 +346,7 @@ cortex_a53_freertos() { # Build device tree ( - cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" + cd ${dts_path} || error "Unable to cd to ${dts_path} dir" if [ -n "${domain_file}" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-a53-imux.dts" "${system_dts}" "${dts_file}" \ @@ -372,7 +372,7 @@ cortex_a53_freertos() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" +CONFIG_DTFILE = "${dts_file_path}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexa53" @@ -407,7 +407,7 @@ cortex_a72_linux() { fi ( - cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" + cd ${dts_path} || error "Unable to cd to ${dts_path} dir" # Check if it is overlay dts otherwise just create linux dts if [ "${overlay_dts}" = "true" ]; then # As there is no partial support on Versal, As per fpga manager implementation there is @@ -443,7 +443,7 @@ cortex_a72_linux() { ## Generate a multiconfig cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" +CONFIG_DTFILE = "${dts_file_path}/${dts_file}" TMPDIR = "\${BASE_TMPDIR}/tmp-${mc_name}" EOF @@ -464,7 +464,7 @@ cortex_a72_baremetal() { # Build device tree ( - cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" + cd ${dts_path} || error "Unable to cd to ${dts_path} dir" if [ -n "${domain_file}" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-a72-imux.dts" "${system_dts}" "${dts_file}" \ @@ -490,7 +490,7 @@ cortex_a72_baremetal() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" +CONFIG_DTFILE = "${dts_file_path}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexa72" @@ -516,7 +516,7 @@ cortex_a72_freertos() { # Build device tree ( - cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" + cd ${dts_path} || error "Unable to cd to ${dts_path} dir" if [ -n "${domain_file}" ]; then LOPPER_DTC_FLAGS="-b 0 -@" lopper -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-a72-imux.dts" "${system_dts}" "${dts_file}" \ @@ -542,7 +542,7 @@ cortex_a72_freertos() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" +CONFIG_DTFILE = "${dts_file_path}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexa72" @@ -583,7 +583,7 @@ cortex_r5_baremetal() { # Build device tree ( - cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" + cd ${dts_path} || error "Unable to cd to ${dts_path} dir" if [ -n "$domain_file" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-r5-imux.dts" "${system_dts}" "${dts_file}" \ @@ -623,7 +623,7 @@ EOF cat /dev/null >"${conf_file}" fi cat <>"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" +CONFIG_DTFILE = "${dts_file_path}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexr5" @@ -649,7 +649,7 @@ cortex_r5_freertos() { # Build device tree ( - cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" + cd ${dts_path} || error "Unable to cd to ${dts_path} dir" if [ -n "$domain_file" ]; then LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -x '*.yaml' \ -i "${domain_file}" -i "${lops_dir}/lop-r5-imux.dts" "${system_dts}" "${dts_file}" \ @@ -675,7 +675,7 @@ cortex_r5_freertos() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" +CONFIG_DTFILE = "${dts_file_path}/${dts_file}" ESW_MACHINE = "$3" DEFAULTTUNE = "cortexr5" @@ -695,7 +695,7 @@ process_microblaze() { mkdir -p machine/include/${mach_conf} ( - cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" + cd ${dts_path} || error "Unable to cd to ${dts_path} dir" LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f --enhanced -i "${lops_dir}/lop-microblaze-yocto.dts" "${system_dts}" \ || error "lopper failed" rm -f lop-microblaze-yocto.dts.dtb @@ -725,7 +725,7 @@ pmu-microblaze() { # Build device tree ( - cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" + cd ${dts_path} || error "Unable to cd to ${dts_path} dir" LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f "${system_dts}" "${dts_file}" || error "lopper failed" ) @@ -743,7 +743,7 @@ pmu-microblaze() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" +CONFIG_DTFILE = "${dts_file_path}/${dts_file}" ESW_MACHINE = "$1" @@ -776,7 +776,7 @@ pmc-microblaze() { # Build device tree ( - cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" + cd ${dts_path} || error "Unable to cd to ${dts_path} dir" LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f "${system_dts}" "${dts_file}" || error "lopper failed" ) @@ -794,7 +794,7 @@ pmc-microblaze() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" +CONFIG_DTFILE = "${dts_file_path}/${dts_file}" ESW_MACHINE = "$1" @@ -827,7 +827,7 @@ psm-microblaze() { # Build device tree ( - cd dts/${dts_name} || error "Unable to cd to dts/${dts_name} dir" + cd ${dts_path} || error "Unable to cd to ${dts_path} dir" LOPPER_DTC_FLAGS="-b 0 -@" ${lopper} -f "${system_dts}" "${dts_file}" || error "lopper failed" ) @@ -845,7 +845,7 @@ psm-microblaze() { sed -i ${features} -e "s,DISTRO_FEATURES,MACHINE_FEATURES," cat <"${conf_file}" -CONFIG_DTFILE = "\${TOPDIR}/conf/dts/${dts_name}/${dts_file}" +CONFIG_DTFILE = "${dts_file_path}/${dts_file}" ESW_MACHINE = "$1" @@ -905,7 +905,7 @@ EOF cat <>"${conf_file}" # Set the default (linux) domain device tree -CONFIG_DTFILE ?= "\${TOPDIR}/conf/dts/${dts_name}/${system_conf}" +CONFIG_DTFILE ?= "${dts_file_path}/${system_conf}" CONFIG_DTFILE[vardepsexclude] += "TOPDIR" require conf/machine/${incmachine} @@ -1186,8 +1186,20 @@ if [ -z "${mach_conf}" ]; then mach_conf=${local_mach_conf} fi -if [ -z "${dts_name}" ]; then - dts_name=${local_mach_conf} +if [ -z "${dts_path}"]; then + dts_path=${local_mach_conf} +fi +# Is it relative? If so, relative to conf/dts +if [ "${dts_path##/}" = "${dts_path}" ]; then + dts_path="dts/${dts_path}" +else + dts_path=$(realpath ${dts_path}) +fi +# Convert the DTS_PATH into something we can put in a config file +if [ "${dts_path##/}" = "${dts_path}" ]; then + dts_file_path="\${TOPDIR}/conf/${dts_path}" +else + dts_file_path="${dts_path}" fi # Generate CPU list @@ -1201,7 +1213,7 @@ fi detect_machine # Now that we know the machine name, we can create the directory mkdir -p machine/include/${mach_conf} -mkdir -p dts/${dts_name} +mkdir -p ${dts_path} echo "System Configuration:" echo "MODEL = \"${model}\"" -- cgit v1.2.3-54-g00ecf From a8f2e4bfa3f882c64ed39c356e767839a1009661 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 23 Jun 2023 15:31:29 +0530 Subject: aie-rt : Updated SRCREV for 2023.2_9031 driver:src: Fixed transaction buffer error msg driver: src: Fix compiler warnings fal: Update driver version for 2023.2 release driver: Update xaiengine version for 2023.2 release driver: src: Add API to configure edge detection events Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 421ddc81..f51a325e 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "5a63ccdf8d77394bf64b47977a98825329aa18e3" +SRCREV ?= "9e68cd436359e1c00a237b43dea07ee1cd23af60" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From ad718579e93808f6018840be4af19a11fddd023c Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 23 Jun 2023 15:31:07 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_4459 csudma: Add support for peripheral_tests in system device-tree flow lib: sw_apps: peripheral_tests: Add support for system device-tree flow lib: sw_apps: hello_world: Add support for system device-tree flow dfeccf: Depricate obsolete APIs lib: sw_apps: empty_application: Add support for system device-tree flow dfeprach: Depricate obsolete APIs dfemix: Depricate obsolete APIs Updated the files which had 755 to 644 xilsem: Update copyright information in xsem_ebdgoldendata.c xilloader: Allow only 1 failed attempt of AuthJtag failure when AUTH_JTAG_LOCK_DIS eFuse programmed updated for changelog and versal cdo Xilsecure: Fixed doxygen warnings XilOcp: Fixed doxygen comments ipipsu: Fix code formatting issues with checkpatch ipipsu: Restructure the code for more modularity wdttb: Correct the interrupt ID for Versal-net platform Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 871f521a..e344cfc5 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "440943de8aec7658fddb1d44e2211df6cf0c928f" +ESW_REV[2023.2] = "e0da77a0f94b87d9d22273b434fd1ef91675fb88" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From aa062f1134b1bb8def3da17e4191f01c895ffa30 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Thu, 22 Jun 2023 07:23:32 -0700 Subject: meta-xilinx-conf: Update kernel preferred version Signed-off-by: Mark Hatle --- meta-xilinx-core/conf/layer.conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/conf/layer.conf b/meta-xilinx-core/conf/layer.conf index 19a5e1a1..c1f7bc1d 100644 --- a/meta-xilinx-core/conf/layer.conf +++ b/meta-xilinx-core/conf/layer.conf @@ -63,7 +63,7 @@ PREFERRED_VERSION_u-boot-xlnx ?= "${@d.getVarFlag('XILINX_UBOOT_VERSION', d.getV PREFERRED_VERSION_u-boot-tools-xlnx ?= "${@d.getVarFlag('XILINX_UBOOT_VERSION', d.getVar('XILINX_RELEASE_VERSION')) or 'undefined'}" XILINX_LINUX_VERSION[v2023.1] = "6.1.5-xilinx-v2023.1%" -XILINX_LINUX_VERSION[v2023.2] = "6.1.5-xilinx-v2023.2%" +XILINX_LINUX_VERSION[v2023.2] = "6.1.30-xilinx-v2023.2%" PREFERRED_VERSION_linux-xlnx ?= "${@d.getVarFlag('XILINX_LINUX_VERSION', d.getVar('XILINX_RELEASE_VERSION')) or 'undefined'}" # Add support to eSDK for gen-machine-conf if it exists -- cgit v1.2.3-54-g00ecf From 56f70c4f35d57afd56dd1750f219afbba8e6084d Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Thu, 22 Jun 2023 07:39:37 -0700 Subject: vdu: Update VDU recipe PVs The PVs are now based on the recipe version, not the global Xilinx version. Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.1.bb | 3 ++- meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb | 3 ++- meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.1.bb | 3 ++- meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb | 3 ++- meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.1.bb | 3 ++- meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb | 3 ++- meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.1.bb | 3 ++- meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb | 3 ++- 8 files changed, 16 insertions(+), 8 deletions(-) diff --git a/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.1.bb b/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.1.bb index a1396278..9b835490 100755 --- a/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.1.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.1.bb @@ -5,7 +5,8 @@ LICENSE = "GPLv2" LIC_FILES_CHKSUM = "file://LICENSE.md;md5=eb723b61539feef013de476e68b5c50a" XILINX_VDU_VERSION = "1.0.0" -PV = "${XILINX_VDU_VERSION}-xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" +PV =. "${XILINX_VDU_VERSION}-xilinx-v" +PV .= "+git${SRCPV}" S = "${WORKDIR}/git" FILESEXTRAPATHS:prepend := "${THISDIR}/files:" diff --git a/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb index a9aff458..57238e94 100644 --- a/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb @@ -5,7 +5,8 @@ LICENSE = "GPLv2" LIC_FILES_CHKSUM = "file://LICENSE.md;md5=eb723b61539feef013de476e68b5c50a" XILINX_VDU_VERSION = "1.0.0" -PV = "${XILINX_VDU_VERSION}-xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" +PV =. "${XILINX_VDU_VERSION}-xilinx-v" +PV .= "+git${SRCPV}" S = "${WORKDIR}/git" FILESEXTRAPATHS:prepend := "${THISDIR}/files:" diff --git a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.1.bb b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.1.bb index 82f3c4f5..602dc2fc 100755 --- a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.1.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.1.bb @@ -4,7 +4,8 @@ LICENSE = "MIT" LIC_FILES_CHKSUM = "file://LICENSE.md;md5=aaf483d309243c4596f6373eb9c8325f" XILINX_VDU_VERSION = "1.0.0" -PV = "${XILINX_VDU_VERSION}-xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" +PV =. "${XILINX_VDU_VERSION}-xilinx-v" +PV .= "+git${SRCPV}" inherit autotools features_check diff --git a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb index dab0e467..b7f2880e 100644 --- a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb @@ -4,7 +4,8 @@ LICENSE = "MIT" LIC_FILES_CHKSUM = "file://LICENSE.md;md5=aaf483d309243c4596f6373eb9c8325f" XILINX_VDU_VERSION = "1.0.0" -PV = "${XILINX_VDU_VERSION}-xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" +PV =. "${XILINX_VDU_VERSION}-xilinx-v" +PV .= "+git${SRCPV}" inherit autotools features_check diff --git a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.1.bb b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.1.bb index 88b50193..b4fc482e 100755 --- a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.1.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.1.bb @@ -4,7 +4,8 @@ LICENSE = "MIT" LIC_FILES_CHKSUM = "file://LICENSE.md;md5=aaf483d309243c4596f6373eb9c8325f" XILINX_VDU_VERSION = "1.0.0" -PV = "${XILINX_VDU_VERSION}-xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" +PV =. "${XILINX_VDU_VERSION}-xilinx-v" +PV .= "+git${SRCPV}" BRANCH ?= "xlnx_rel_v2023.1" REPO ?= "git://github.com/Xilinx/vdu-omx-il.git;protocol=https" diff --git a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb index 4b140ab0..466153d1 100644 --- a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb @@ -4,7 +4,8 @@ LICENSE = "MIT" LIC_FILES_CHKSUM = "file://LICENSE.md;md5=aaf483d309243c4596f6373eb9c8325f" XILINX_VDU_VERSION = "1.0.0" -PV = "${XILINX_VDU_VERSION}-xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" +PV =. "${XILINX_VDU_VERSION}-xilinx-v" +PV .= "+git${SRCPV}" BRANCH ?= "master" REPO ?= "git://github.com/Xilinx/vdu-omx-il.git;protocol=https" diff --git a/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.1.bb b/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.1.bb index e96e0d24..8cc2cce1 100755 --- a/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.1.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.1.bb @@ -4,7 +4,8 @@ LICENSE = "Proprietary" LIC_FILES_CHKSUM = "file://LICENSE.md;md5=c5784f63397086d836580d8785d1deb9" XILINX_VDU_VERSION = "1.0.0" -PV = "${XILINX_VDU_VERSION}-xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" +PV =. "${XILINX_VDU_VERSION}-xilinx-v" +PV .= "+git${SRCPV}" S = "${WORKDIR}/git" diff --git a/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb index 39265a5d..7595c082 100755 --- a/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb @@ -4,7 +4,8 @@ LICENSE = "Proprietary" LIC_FILES_CHKSUM = "file://LICENSE.md;md5=c5784f63397086d836580d8785d1deb9" XILINX_VDU_VERSION = "1.0.0" -PV = "${XILINX_VDU_VERSION}-xilinx-${XILINX_RELEASE_VERSION}+git${SRCPV}" +PV =. "${XILINX_VDU_VERSION}-xilinx-v" +PV .= "+git${SRCPV}" S = "${WORKDIR}/git" -- cgit v1.2.3-54-g00ecf From 669660cd808c74d80f6966bd74ca574b45e472d0 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Thu, 22 Jun 2023 09:39:00 -0700 Subject: meta-xilinx-core: Add virtual/bitstream provider for non XSCT case This is modeled after the pmufw and provides a non-default virtual/bitstream provider. Other boards and workflows will provider their own providers. Signed-off-by: Mark Hatle --- .../recipes-bsp/bitstream/bitstream.bb | 59 ++++++++++++++++++++++ 1 file changed, 59 insertions(+) create mode 100644 meta-xilinx-core/recipes-bsp/bitstream/bitstream.bb diff --git a/meta-xilinx-core/recipes-bsp/bitstream/bitstream.bb b/meta-xilinx-core/recipes-bsp/bitstream/bitstream.bb new file mode 100644 index 00000000..f61761f4 --- /dev/null +++ b/meta-xilinx-core/recipes-bsp/bitstream/bitstream.bb @@ -0,0 +1,59 @@ +DESCRIPTION = "Recipe to provide a bitstream via virtual/bitstream" + +LICENSE = "MIT" +LIC_FILES_CHKSUM = "file://${COMMON_LICENSE_DIR}/MIT;md5=0835ade698e0bcf8506ecda2f7b4f302" + +INHIBIT_DEFAULT_DEPS = "1" + +# We never want to prefer this over another provider +DEFAULT_PREFERENCE = "-1" + +PROVIDES = "virtual/bitstream" + +COMPATIBLE_MACHINE = "$^" +COMPATIBLE_MACHINE:zynq = ".*" +COMPATIBLE_MACHINE:zynqmp = ".*" + +# Since we're just copying, we can run any config +COMPATIBLE_HOST = ".*" + +PACKAGE_ARCH = "${MACHINE_ARCH}" + +# Path where the bitstream can be found +BITSTREAM_PATH ?= "" + +inherit deploy + +do_install() { + if [ ! -e ${BITSTREAM_PATH} ]; then + echo "Unable to find BITSTREAM_PATH (${BITSTREAM_PATH})" + exit 1 + fi + + install -Dm 0644 ${BITSTREAM_PATH} ${D}/boot/. +} + +# If the item is already in OUR deploy_image_dir, nothing to deploy! +SHOULD_DEPLOY = "${@'false' if (d.getVar('BITSTREAM_PATH')).startswith(d.getVar('DEPLOY_DIR_IMAGE')) else 'true'}" +do_deploy() { + # If the item is already in OUR deploy_image_dir, nothing to deploy! + if ${SHOULD_DEPLOY}; then + install -Dm 0644 ${BITSTREAM_PATH} ${DEPLOYDIR}/. + fi +} + +def check_bitstream_vars(d): + # If BITSTREAM_PATH is not defined, we error and instruct the user + # Don't cache this, as the items on disk can change! + d.setVar('BB_DONT_CACHE', '1') + if d.getVar('BITSTREAM_PATH') and not os.path.exists(d.getVar('BITSTREAM_PATH')): + raise bb.parse.SkipRecipe("The expected bitstream file %s is not available.\nSee the meta-xilinx-core README.") + + if not d.getVar('BITSTREAM_PATH'): + raise bb.parse.SkipRecipe("Something is depending on virtual/bitstream and you have not provided a bitstream using BITSTREAM_PATH variable.\n See the meta-xilinx-core README.") + +python() { + # Need to allow bbappends to change the check + check_bitstream_vars(d) +} + -- cgit v1.2.3-54-g00ecf From c6428720d6aaaa3f3db873173b7257777fb7c752 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Wed, 21 Jun 2023 18:02:39 -0600 Subject: machine: Remove BOARD overrides from xilinx bsp layer Using BOARD variable are deprecated, hence remove it. Machine conf files using BOARD overrides now will be replaced with machineoverrides. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-bsp/conf/machine/vck190-versal.conf | 4 ---- meta-xilinx-bsp/conf/machine/vck5000-versal.conf | 4 ---- meta-xilinx-bsp/conf/machine/vmk180-versal.conf | 4 ---- meta-xilinx-bsp/conf/machine/zcu102-zynqmp.conf | 4 ---- meta-xilinx-bsp/conf/machine/zcu106-zynqmp.conf | 4 ---- meta-xilinx-bsp/conf/machine/zcu111-zynqmp.conf | 4 ---- 6 files changed, 24 deletions(-) diff --git a/meta-xilinx-bsp/conf/machine/vck190-versal.conf b/meta-xilinx-bsp/conf/machine/vck190-versal.conf index 76b3cf1d..02f1c015 100644 --- a/meta-xilinx-bsp/conf/machine/vck190-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vck190-versal.conf @@ -2,10 +2,6 @@ #@NAME: vck190-versal #@DESCRIPTION: Machine configuration for the VCK190 evaluation board. -# Compatibility with old BOARD value. -# TODO - Remove these once removed from petalinux bsp -MACHINEOVERRIDES =. "vck190:" - #### Preamble MACHINEOVERRIDES =. "${@['', 'vck190-versal:']['vck190-versal' !='${MACHINE}']}" #### Regular settings follow diff --git a/meta-xilinx-bsp/conf/machine/vck5000-versal.conf b/meta-xilinx-bsp/conf/machine/vck5000-versal.conf index a80788cc..e77a4cfc 100644 --- a/meta-xilinx-bsp/conf/machine/vck5000-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vck5000-versal.conf @@ -2,10 +2,6 @@ #@NAME: vck500-versal #@DESCRIPTION: Machine configuration for the VCK5000 evaluation board. -# Compatibility with old BOARD value. -# TODO - Remove these once removed from petalinux bsp -MACHINEOVERRIDES =. "vck5000:" - #### Preamble MACHINEOVERRIDES =. "${@['', 'vck5000-versal:']['vck5000-versal' !='${MACHINE}']}" #### Regular settings follow diff --git a/meta-xilinx-bsp/conf/machine/vmk180-versal.conf b/meta-xilinx-bsp/conf/machine/vmk180-versal.conf index 077bf0a2..2ccbca05 100644 --- a/meta-xilinx-bsp/conf/machine/vmk180-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vmk180-versal.conf @@ -2,10 +2,6 @@ #@NAME: vmk180-versal #@DESCRIPTION: Machine configuration for the VMK180 evaluation board. -# Compatibility with old BOARD value. -# TODO - Remove these once removed from petalinux bsp -MACHINEOVERRIDES =. "vmk180:" - #### Preamble MACHINEOVERRIDES =. "${@['', 'vmk180-versal:']['vmk180-versal' !='${MACHINE}']}" #### Regular settings follow diff --git a/meta-xilinx-bsp/conf/machine/zcu102-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu102-zynqmp.conf index 61b2bf2b..52a2a194 100644 --- a/meta-xilinx-bsp/conf/machine/zcu102-zynqmp.conf +++ b/meta-xilinx-bsp/conf/machine/zcu102-zynqmp.conf @@ -2,10 +2,6 @@ #@NAME: zcu102-zynqmp #@DESCRIPTION: Machine configuration for the ZCU102 evaluation board. -# Compatibility with old BOARD value. -# TODO - Remove these once removed from petalinux bsp -MACHINEOVERRIDES =. "zcu102:" - #### Preamble MACHINEOVERRIDES =. "${@['', 'zcu102-zynqmp:']['zcu102-zynqmp' !='${MACHINE}']}" #### Regular settings follow diff --git a/meta-xilinx-bsp/conf/machine/zcu106-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu106-zynqmp.conf index 6853edb0..0cc55654 100644 --- a/meta-xilinx-bsp/conf/machine/zcu106-zynqmp.conf +++ b/meta-xilinx-bsp/conf/machine/zcu106-zynqmp.conf @@ -2,10 +2,6 @@ #@NAME: zcu106-zynqmp #@DESCRIPTION: Machine configuration for the ZCU106 evaluation board. -# Compatibility with old BOARD value. -# TODO - Remove these once removed from petalinux bsp -MACHINEOVERRIDES =. "zcu106:" - #### Preamble MACHINEOVERRIDES =. "${@['', 'zcu106-zynqmp:']['zcu106-zynqmp' !='${MACHINE}']}" #### Regular settings follow diff --git a/meta-xilinx-bsp/conf/machine/zcu111-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu111-zynqmp.conf index 92e80460..493b1f13 100644 --- a/meta-xilinx-bsp/conf/machine/zcu111-zynqmp.conf +++ b/meta-xilinx-bsp/conf/machine/zcu111-zynqmp.conf @@ -2,10 +2,6 @@ #@NAME: zcu111-zynqmp #@DESCRIPTION: Machine configuration for the ZCU111 evaluation board. -# Compatibility with old BOARD value. -# TODO - Remove these once removed from petalinux bsp -MACHINEOVERRIDES =. "zcu111:" - #### Preamble MACHINEOVERRIDES =. "${@['', 'zcu111-zynqmp:']['zcu111-zynqmp' !='${MACHINE}']}" #### Regular settings follow -- cgit v1.2.3-54-g00ecf From ebc0e89b65a1d518e53f175a3af4af10dfc94607 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Wed, 21 Jun 2023 18:02:40 -0600 Subject: ultra96-zynqmp: Remove BOARD overrides Using BOARD variable are deprecated, hence remove it. ultra96-zynqmp.conf file using BOARD overrides now will be replaced with machineoverrides. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-vendor/conf/machine/ultra96-zynqmp.conf | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/meta-xilinx-vendor/conf/machine/ultra96-zynqmp.conf b/meta-xilinx-vendor/conf/machine/ultra96-zynqmp.conf index 479398b0..711c3ec6 100644 --- a/meta-xilinx-vendor/conf/machine/ultra96-zynqmp.conf +++ b/meta-xilinx-vendor/conf/machine/ultra96-zynqmp.conf @@ -2,10 +2,6 @@ #@NAME: ultra96-zynqmp #@DESCRIPTION: Machine support for Ultra96 Evaluation Board. -# Compatibility with old BOARD value. -# TODO - Remove these once removed from petalinux bsp -MACHINEOVERRIDES =. "ultra96:" - #### Preamble MACHINEOVERRIDES =. "${@['', 'ultra96-zynqmp:']['ultra96-zynqmp' !='${MACHINE}']}" #### Regular settings follow @@ -51,6 +47,10 @@ require conf/machine/zynqmp-eg-generic.conf # from local.conf. HDF_MACHINE = "ultra96-zynqmp" +# KERNEL_DEVICETREE is disabled as we use board device tree from DTG to match +# the xsa. User can enable explicitly if required from local.conf. +# KERNEL_DEVICETREE = "xilinx/zynqmp-zcu100-revC.dtb" + # Ultra96 has 2GB memory only but default zynqmp-generic has QB_MEM set to 4G, # Hence set QB_MEM to 2GB. QB_MEM = "-m 2G" -- cgit v1.2.3-54-g00ecf From 48877aa7fa7416eb4d140aa9f24cfbbb09633f83 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Wed, 21 Jun 2023 18:02:41 -0600 Subject: machine: Remove ultra96 inclusion file ultra96.inc file not used anymore hence remove it. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-vendor/conf/machine/include/board/ultra96.inc | 12 ------------ 1 file changed, 12 deletions(-) delete mode 100644 meta-xilinx-vendor/conf/machine/include/board/ultra96.inc diff --git a/meta-xilinx-vendor/conf/machine/include/board/ultra96.inc b/meta-xilinx-vendor/conf/machine/include/board/ultra96.inc deleted file mode 100644 index bfb57e00..00000000 --- a/meta-xilinx-vendor/conf/machine/include/board/ultra96.inc +++ /dev/null @@ -1,12 +0,0 @@ -# Ultra96 items that need to be configured from zynqmp-generic -KERNEL_DEVICETREE:ultra96 = "xilinx/zynqmp-zcu100-revC.dtb" - -# Affects meta-xilinx-tools xsctyaml.bbclass related items -YAML_SERIAL_CONSOLE_STDIN:ultra96 ?= "psu_uart_1" -YAML_SERIAL_CONSOLE_STDOUT:ultra96 ?= "psu_uart_1" - -YAML_COMPILER_FLAGS:append:ultra96 = " -DBOARD_SHUTDOWN_PIN=2 -DBOARD_SHUTDOWN_PIN_STATE=0 " - -# Enable bluetooth and wifi module -MACHINE_ESSENTIAL_EXTRA_RDEPENDS:append:ultra96 = " linux-firmware-wl18xx linux-firmware-ti-bt-wl180x" - -- cgit v1.2.3-54-g00ecf From d8f8fbf473ba47aacec62fa9710a6e63f0041b5f Mon Sep 17 00:00:00 2001 From: saumya garg Date: Thu, 22 Jun 2023 14:41:08 +0530 Subject: Update xrt, zocl commit id changelog: Modified the profiling plugin to build correctly on xdp_minimal build and windows (#7599) Updated XDP AIE plugin callbacks (#7598) time_after needs unsigned long instead of u64 (#7597) VITIS-8979 Improve display of dynamic region report (#7585) CR-1165917 Adding v70pq2 PCIe device ID to xocl driver (#7590) moving to latest petalinux and removed vdu recipes (#7592) Signed-off-by: saumya garg Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 914f497a..51da5562 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -3,6 +3,6 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG}" BRANCH= "master" -SRCREV= "2b1a65b8f29d9936f865d8aaa9b4575d3bfdbc3f" +SRCREV= "b1e154bed787183eb677d1546fc632d6799895b9" PV = "202320.2.16.0" -- cgit v1.2.3-54-g00ecf From 18e62e3e6dc37a9c6c5a95fd29f00892de9b3a88 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Mon, 26 Jun 2023 12:45:10 +0530 Subject: Updated Commit ID gen_config.py:generating multiconfigs Kconfig.part: adding multiconfig str rootfs_config.py: Use INIT_MANAGER_DEFAULT to set sysvinit/systemd Kconfig.part: Add SDT check for PMUFW and FSBL machineconf.json: Remove BOARD overrides from json file configs: Remove unused config BOOTLOADER_AUTO_PS_INIT Kconfig.part: Add SDT check for configs Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 595027f0..d4475522 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 595027f07ed43decd4f68dc7ca27f343b3b31f7c +Subproject commit d44755222e78b305bcdefbad70a692a4d0562e3b -- cgit v1.2.3-54-g00ecf From e7e8b59652dc33de89746a827f834b319d63877b Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 26 Jun 2023 16:26:47 +0530 Subject: u-boot-xlnx : Updated SRCREV for 2023.2_1551 arm64: versal_net: Update SPI node for se7 mtd: spi-nor: Add support for w25q256jwm Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 7918e8e7..be8f996d 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "690954edc5854fca06ed203bf7d7af704d955056" +SRCREV = "43192d0534ed77be0ec76b51a7698e251f10e6ab" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From c0351de52fa6a863485f1fb4eb3d64b117855c37 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Fri, 23 Jun 2023 11:43:12 -0700 Subject: tcf-agent: Move build fix from meta-petalinux Signed-off-by: Mark Hatle --- meta-microblaze/recipes-devtools/tcf-agent/tcf-agent_%.bbappend | 2 ++ 1 file changed, 2 insertions(+) create mode 100644 meta-microblaze/recipes-devtools/tcf-agent/tcf-agent_%.bbappend diff --git a/meta-microblaze/recipes-devtools/tcf-agent/tcf-agent_%.bbappend b/meta-microblaze/recipes-devtools/tcf-agent/tcf-agent_%.bbappend new file mode 100644 index 00000000..0938bd1a --- /dev/null +++ b/meta-microblaze/recipes-devtools/tcf-agent/tcf-agent_%.bbappend @@ -0,0 +1,2 @@ +MAKE_ARCH:microblaze = "microblaze" + -- cgit v1.2.3-54-g00ecf From 431c2df0051dcc3200390884a1fe655e9048c7cd Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 27 Jun 2023 17:14:13 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_2015 arm64: configs: add HDMI and DP configs drm: xlnx: hdmi: update parameter for HDCP init drm: xlnx: Fix kernel-doc in drm/xlnx/xlnx_fb.c vfio/cdx: Optimize code as per upstream comments vfio/cdx: Remove unnecessary wrapper functions, macros. vfio/cdx: Use module_driver macro cdx: Sending MSI msg to firmware from preemptible task context spi: Fix kernel crash while using GPIO CS dt-bindings: firmware: versal: remove alt_ref clock from firmware binding arm64: versal_net: Update SPI node for se7 cdx: Replace custom mcdi logging with print_hex_dump_debug() cdx: add support for driver managed dma gpio: synq: remove unused zynq_gpio_irq_reqres/zynq_gpio_irq_relres arm64: versal: Add DT description for CPM5 Root port for Versal Premium arm64: versal: Add missing DT properties to cpm_pciea arm64: versal: rename CPM interrupt-controller Revert "usb: gadget: send usb_gadget as an argument in get_config_params" gpio: zynq: fix zynqmp_gpio not an immutable chip warning arm64: versal-net: Add support for VNX board xilinx: Defconfig sync with the latest Kconfig layout xilinx: Enable XILINX_WINDOW_WATCHDOG for Versal/Versal NET arm64: versal-net: Add LPD-WWDT to versal-net.dtsi staging: xroeframer: Fix kernel-doc function in sysfs...ipv6/udp.c arm64: versal: Switch to new wwdt DT binding watchdog: xilinx_wwdt: Add Versal window watchdog support dt-bindings: watchdog: xlnx,versal-wwdt: Add versal watchdog can: xilinx_can: Add support for controller reset Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 243cb5c5..c8dce760 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "bd2c73dd60da25dae9f78894f9d96688543abccf" +SRCREV = "c8780751e11b1803eaf3e75ace5eccb3138f06d3" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 7124ef2c704c85cb443743f94454cc13a6d0c445 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 28 Jun 2023 12:51:28 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_5247 xilpm: versal: server: Remove conditional compile of WaitForPowerRailUp freertos10_xilinx: Update handling on error condition freertos10_xilinx: Fix the interrupt id for xiltimer use cases freertos10_xilinx: Update copyright freertos10_xilinx: Update freertos intr example to fix code fomatting riscv: riscv_interface: Fix code formatting issues BSP: riscv: Fix sleep related macros xilpm: Set CKE low during Memory Device Power-Down lib: standalone: Adding xparameters_ps.h for A9 bsp lib: sw_services: xiltimer: Generate COUNTS_PER_SECOND and XSLEEPTIMER_FREQ macro lib: bsp: Update Zynq translation table to use the SDT specifc DDR macros lib: bsp: Support for zynq specific BSP in System device-tree flow lwip213: Add device-tree cmake files. lwip213: Add lwip configs metadata lwip213: port deviations for device-tree flow lwip213: Add device-tree yaml file usb: usbpsu: examples: Update the examples to support SDT flow and wrapper API usb: usbpsu: data: add interrupt to support SDT flow usb: usbpsu: src: update the driver to support SDT flow scripts: lscript_mb.ld.in: Fix stack and heap size sw_apps:dhrystone: Add support for SDT flow cmake: Add toolchain file for Microblaze lib: Add support for Microblaze dfeofdm: Depricate obsolete APIs xilpm: versal_common: Remove unused variables canfd: Fix code formatting issues with checkpatch canfd: Add support for system device-tree flow for example canfd: Add support for system device-tree flow canfd: Update library version for 2023.2 DP14RXSS: Updated addtogroup in all the driver files DP14RXSS: Incremented driver version DP14RXSS: TCL file is modified to remove 0 xilpm: versal: server: DVS of power rail using GPIO usb: usb: src: Update addtogroup to fix doxygen warnings usb: usb: Incremented driver version to 5.6 and copyright usb: usbps: src: Update addtogroup to fix doxygen warnings usb: usbps: Incremented driver version to 2.8 and copyright usb: usbpsu: src: Update addtogroup to fix doxygen warnings usb: usbpsu: data: Incremented driver version to 1.14 xilplmi: Log PLM Error before deferring xilplmi: Add unique error code support for mask poll Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index e344cfc5..a45bb217 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "e0da77a0f94b87d9d22273b434fd1ef91675fb88" +ESW_REV[2023.2] = "8776c1d369173092a6c5512994bf5191151bda29" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 9f2dd0887b13d7a58d774d100b79c644f18b4a8b Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 28 Jun 2023 15:07:06 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_7051 uartpsv: Fix code format issues uartpsv: Add support for system device-tree flow for uartpsv example uartpsv: Add uartpsv examples list in yaml axipmon: Fix code formatting issues with checkpatch axipmon: Add support for system device-tree flow for examples axipmon: Add support for system device-tree flow Revert "wdttb: Correct the interrupt ID for Versal-net platform" scugic: Fix code formatting issues scugic: Make SGI as Group1 interrupt for Cortex-R52 Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index a45bb217..8ecac2e9 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "8776c1d369173092a6c5512994bf5191151bda29" +ESW_REV[2023.2] = "8a6c14d1cc5d923466025a5ad83b9b816670d5b0" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From fd5d726955752d42f7507453ef69978d3d7e1495 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Thu, 29 Jun 2023 12:45:11 +0530 Subject: Updated Commit ID hw-description.tcl: adjusting u-boot text base Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index d4475522..28c8dd40 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit d44755222e78b305bcdefbad70a692a4d0562e3b +Subproject commit 28c8dd4068af39928c4ae2eae0308d76a357ec2d -- cgit v1.2.3-54-g00ecf From 5f5ef1cacca58f44de105f6ac3631a4466ca45de Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Fri, 23 Jun 2023 14:59:01 -0600 Subject: layer.conf: Add xilinx-tools as dynamic layers Add meta-xilinx-tools as dynamic-layers. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-contrib/conf/layer.conf | 17 +++++++++++++---- 1 file changed, 13 insertions(+), 4 deletions(-) diff --git a/meta-xilinx-contrib/conf/layer.conf b/meta-xilinx-contrib/conf/layer.conf index eb056957..f3d6c6e4 100644 --- a/meta-xilinx-contrib/conf/layer.conf +++ b/meta-xilinx-contrib/conf/layer.conf @@ -2,14 +2,23 @@ BBPATH .= ":${LAYERDIR}" # We have a packages directory, add to BBFILES -BBFILES += "${LAYERDIR}/recipes-*/*/*.bb" -BBFILES += "${LAYERDIR}/recipes-*/*/*.bbappend" +BBFILES += " \ + ${LAYERDIR}/recipes-*/*/*.bb \ + ${LAYERDIR}/recipes-*/*/*.bbappend \ + " + +BBFILES_DYNAMIC += " \ + xilinx-tools:${LAYERDIR}/dynamic-layers/meta-xilinx-tools/recipes-*/*/*.bb \ + xilinx-tools:${LAYERDIR}/dynamic-layers/meta-xilinx-tools/recipes-*/*/*.bbappend \ + " BBFILE_COLLECTIONS += "xilinx-contrib" BBFILE_PATTERN_xilinx-contrib = "^${LAYERDIR}/" BBFILE_PRIORITY_xilinx-contrib = "5" -LAYERDEPENDS_xilinx-contrib = "core" -LAYERDEPENDS_xilinx-contrib = "xilinx" +LAYERDEPENDS_xilinx-contrib = " \ + core \ + xilinx \ + " LAYERSERIES_COMPAT_xilinx-contrib = "langdale" -- cgit v1.2.3-54-g00ecf From 6e0a892c86958e341cb016bdaabef7fadba96606 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Fri, 23 Jun 2023 14:59:02 -0600 Subject: bitstream-extraction: Move to xilinx-tools dynamic layers bitstream-extraction recipe warnings are observed in SDT builds. WARNING: No recipes in default available for: /scratch1/sandeepg/yocto/2023.2/yp-dev/sources/poky/../meta-xilinx/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend No recipes in cortexa53-0-zynqmp-baremetal available for: /scratch1/sandeepg/yocto/2023.2/yp-dev/sources/poky/../meta-xilinx/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend No recipes in cortexa53-0-zynqmp-freertos available for: /scratch1/sandeepg/yocto/2023.2/yp-dev/sources/poky/../meta-xilinx/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend No recipes in cortexa53-0-zynqmp-fsbl-baremetal available for: /scratch1/sandeepg/yocto/2023.2/yp-dev/sources/poky/../meta-xilinx/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend No recipes in cortexa53-1-zynqmp-baremetal available for: /scratch1/sandeepg/yocto/2023.2/yp-dev/sources/poky/../meta-xilinx/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend No recipes in cortexa53-1-zynqmp-freertos available for: /scratch1/sandeepg/yocto/2023.2/yp-dev/sources/poky/../meta-xilinx/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend No recipes in cortexa53-2-zynqmp-baremetal available for: /scratch1/sandeepg/yocto/2023.2/yp-dev/sources/poky/../meta-xilinx/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend No recipes in cortexa53-2-zynqmp-freertos available for: /scratch1/sandeepg/yocto/2023.2/yp-dev/sources/poky/../meta-xilinx/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend No recipes in cortexa53-3-zynqmp-baremetal available for: /scratch1/sandeepg/yocto/2023.2/yp-dev/sources/poky/../meta-xilinx/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend No recipes in cortexa53-3-zynqmp-freertos available for: /scratch1/sandeepg/yocto/2023.2/yp-dev/sources/poky/../meta-xilinx/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend No recipes in cortexr5-0-zynqmp-baremetal available for: /scratch1/sandeepg/yocto/2023.2/yp-dev/sources/poky/../meta-xilinx/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend No recipes in cortexr5-0-zynqmp-freertos available for: /scratch1/sandeepg/yocto/2023.2/yp-dev/sources/poky/../meta-xilinx/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend No recipes in cortexr5-0-zynqmp-fsbl-baremetal available for: /scratch1/sandeepg/yocto/2023.2/yp-dev/sources/poky/../meta-xilinx/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend No recipes in cortexr5-1-zynqmp-baremetal available for: /scratch1/sandeepg/yocto/2023.2/yp-dev/sources/poky/../meta-xilinx/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend No recipes in cortexr5-1-zynqmp-freertos available for: /scratch1/sandeepg/yocto/2023.2/yp-dev/sources/poky/../meta-xilinx/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend No recipes in microblaze-0-pmu available for: /scratch1/sandeepg/yocto/2023.2/yp-dev/sources/poky/../meta-xilinx/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend Hence move these recipe bbappends to meta-xilinx-tools dynamic layers to fix warnings in SDT builds. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- .../recipes-bsp/bitstream/bitstream-extraction_%.bbappend | 2 ++ .../recipes-bsp/bitstream/bitstream-extraction_%.bbappend | 2 -- 2 files changed, 2 insertions(+), 2 deletions(-) create mode 100644 meta-xilinx-contrib/dynamic-layers/meta-xilinx-tools/recipes-bsp/bitstream/bitstream-extraction_%.bbappend delete mode 100644 meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend diff --git a/meta-xilinx-contrib/dynamic-layers/meta-xilinx-tools/recipes-bsp/bitstream/bitstream-extraction_%.bbappend b/meta-xilinx-contrib/dynamic-layers/meta-xilinx-tools/recipes-bsp/bitstream/bitstream-extraction_%.bbappend new file mode 100644 index 00000000..4653c93a --- /dev/null +++ b/meta-xilinx-contrib/dynamic-layers/meta-xilinx-tools/recipes-bsp/bitstream/bitstream-extraction_%.bbappend @@ -0,0 +1,2 @@ +COMPATIBLE_MACHINE:zybo-linux-bd-zynq7 = "^$" + diff --git a/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend b/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend deleted file mode 100644 index 4653c93a..00000000 --- a/meta-xilinx-contrib/recipes-bsp/bitstream/bitstream-extraction_%.bbappend +++ /dev/null @@ -1,2 +0,0 @@ -COMPATIBLE_MACHINE:zybo-linux-bd-zynq7 = "^$" - -- cgit v1.2.3-54-g00ecf From 4c17247f4e5e186776903e5ff3effa523e7e5ee2 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Tue, 27 Jun 2023 15:44:36 -0600 Subject: xserver-xorg: Move monitor hotplug rules from meta-petalinux Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- .../xserver-xorg/99-monitor-hotplug.rules | 1 + .../xorg-xserver/xserver-xorg/monitor-hotplug.sh | 50 ++++++++++++++++++++++ .../xorg-xserver/xserver-xorg_%.bbappend | 17 ++++++++ 3 files changed, 68 insertions(+) create mode 100644 meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg/99-monitor-hotplug.rules create mode 100755 meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg/monitor-hotplug.sh diff --git a/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg/99-monitor-hotplug.rules b/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg/99-monitor-hotplug.rules new file mode 100644 index 00000000..eeb7d671 --- /dev/null +++ b/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg/99-monitor-hotplug.rules @@ -0,0 +1 @@ +ACTION=="change", SUBSYSTEM=="drm", ENV{HOTPLUG}=="1", RUN+="/usr/bin/monitor-hotplug.sh" diff --git a/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg/monitor-hotplug.sh b/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg/monitor-hotplug.sh new file mode 100755 index 00000000..d603082d --- /dev/null +++ b/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg/monitor-hotplug.sh @@ -0,0 +1,50 @@ +#! /bin/sh + +# Copyright (C) 2018 Xilinx, Inc. All rights reserved. +# Copyright (C) 2023 Advanced Micro Devices, Inc. All rights reserved. +# +# Permission is hereby granted, free of charge, to any person obtaining a copy +# of this software and associated documentation files (the "Software"), to deal +# in the Software without restriction, including without limitation the rights +# to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +# copies of the Software, and to permit persons to whom the Software is +# furnished to do so, subject to the following conditions: +# +# The above copyright notice and this permission notice shall be included in +# all copies or substantial portions of the Software. +# +# THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +# IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +# FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +# AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +# LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +# OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN +# THE SOFTWARE. + +# Adapt this script to your needs. + +DEVICES=$(find /sys/class/drm/*/status) + +# inspired by /etc/acpd/lid.sh and the function it sources. + +displaynum=`ls /tmp/.X11-unix/* | sed s#/tmp/.X11-unix/X##` +display=":$displaynum.0" +export DISPLAY=":$displaynum.0" + +# from https://wiki.archlinux.org/index.php/Acpid#Laptop_Monitor_Power_Off +export XAUTHORITY=$(ps -C Xorg -f --no-header | sed -n 's/.*-auth //; s/ -[^ ].*//; p') + +for i in /sys/class/drm/*/*/status ; +do + status=$(cat $i); + connector=${i%/status*}; + connector=${connector#*-}; + if [ "$status" == "disconnected" ]; then + xset dpms force off + elif [ "$status" == "connected" ]; then + xset dpms force on + if [ "$(xrandr | grep '\*')" = "" ]; then + xrandr --output $connector --auto + fi + fi +done diff --git a/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg_%.bbappend b/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg_%.bbappend index 83fd7fa6..a9973eff 100644 --- a/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg_%.bbappend +++ b/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg_%.bbappend @@ -2,3 +2,20 @@ DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" PACKAGE_ARCH = "${@bb.utils.contains_any('DEPENDS', 'virtual/libgles1 virtual/libgles2 virtual/egl virtual/libgbm', '${MALI_PACKAGE_ARCH}', '${DEFAULT_PACKAGE_ARCH}', d)}" + +FILESEXTRAPATHS:prepend := "${THISDIR}/${PN}:" + +SRC_URI += " \ + file://monitor-hotplug.sh \ + file://99-monitor-hotplug.rules \ + " + +do_install:append() { + install -d ${D}${bindir} + install -m 0755 ${WORKDIR}/monitor-hotplug.sh ${D}${bindir} + + install -d ${D}${sysconfdir}/udev/rules.d + install -m 0644 ${WORKDIR}/99-monitor-hotplug.rules ${D}${sysconfdir}/udev/rules.d/local.rules +} + +FILES:${PN} += "${sysconfdir}/udev/rules.d/*" -- cgit v1.2.3-54-g00ecf From 9b54de80aceb7ad78c3d60fe21ef0584b34c4140 Mon Sep 17 00:00:00 2001 From: Raju Kumar Pothuraju Date: Wed, 28 Jun 2023 15:08:29 +0530 Subject: boot.cmd.generic: Update bootargs with launch_ramdisk_init Map uboot env variable launch_ramdisk_init with bootargs to stop at tiny rootfs. If user specified bootargs at uboot skip appending auto bootargs. Using fdtcontroladdr uboot variable instead of hardcoded value in boot.scr. If DTB loads from FAT to DDR from uboot fdtcontroladdr points to old dtb(loaded from boot.bin or uboot.elf) so updating it with the dtb load address. Signed-off-by: Raju Kumar Pothuraju Signed-off-by: Mark Hatle --- .../recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.generic | 10 ++++++++++ 1 file changed, 10 insertions(+) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.generic b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.generic index 2459d06b..d99932ed 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.generic +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.generic @@ -9,10 +9,16 @@ ramdisk_name=@@RAMDISK_IMAGE1@@ rootfs_name=@@RAMDISK_IMAGE@@ @@PRE_BOOTENV@@ + +setenv get_bootargs 'fdt addr $fdtcontroladdr;fdt get value bootargs /chosen bootargs;' +setenv update_bootargs 'if test -n ${launch_ramdisk_init} && test ${bootargs} = "";then if run get_bootargs;then setenv bootargs "\$bootargs launch_ramdisk_init=${launch_ramdisk_init} $extrabootargs";fi;fi' + + for boot_target in ${boot_targets}; do echo "Trying to load boot images from ${boot_target}" if test "${boot_target}" = "jtag" ; then + run update_bootargs @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ @@RAMDISK_IMAGE_ADDRESS@@ @@DEVICETREE_ADDRESS@@ fi if test "${boot_target}" = "mmc0" || test "${boot_target}" = "mmc1" || test "${boot_target}" = "usb0" || test "${boot_target}" = "usb1"; then @@ -34,6 +40,7 @@ do fi if test -e ${devtype} ${devnum}:${distro_bootpart} /system.dtb; then fatload ${devtype} ${devnum}:${distro_bootpart} @@DEVICETREE_ADDRESS@@ system.dtb; + setenv fdtcontroladdr @@DEVICETREE_ADDRESS@@ fi if test -e ${devtype} ${devnum}:${distro_bootpart} /devicetree/openamp.dtbo; then fatload ${devtype} ${devnum}:${distro_bootpart} @@DEVICETREE_OVERLAY_ADDRESS@@ devicetree/openamp.dtbo; @@ -41,6 +48,7 @@ do fdt resize 8192 fdt apply @@DEVICETREE_OVERLAY_ADDRESS@@ fi + run update_bootargs if test -e ${devtype} ${devnum}:${distro_bootpart} /${ramdisk_name} && test "${skip_tinyramdisk}" != "yes"; then fatload ${devtype} ${devnum}:${distro_bootpart} @@RAMDISK_IMAGE_ADDRESS@@ ${ramdisk_name}; @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ @@RAMDISK_IMAGE_ADDRESS@@ @@DEVICETREE_ADDRESS@@ @@ -59,6 +67,7 @@ do sf read @@KERNEL_LOAD_ADDRESS@@ @@QSPI_KERNEL_OFFSET@@ @@QSPI_KERNEL_SIZE@@ sf read @@RAMDISK_IMAGE_ADDRESS@@ @@QSPI_RAMDISK_OFFSET@@ @@QSPI_RAMDISK_SIZE@@ + run update_bootargs @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ @@RAMDISK_IMAGE_ADDRESS@@ @@DEVICETREE_ADDRESS@@; echo "Booting using Separate images failed" fi @@ -70,6 +79,7 @@ do nand read @@KERNEL_LOAD_ADDRESS@@ @@NAND_KERNEL_OFFSET@@ @@NAND_KERNEL_SIZE@@ nand read @@RAMDISK_IMAGE_ADDRESS@@ @@NAND_RAMDISK_OFFSET@@ @@NAND_RAMDISK_SIZE@@ + run update_bootargs @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ @@RAMDISK_IMAGE_ADDRESS@@ @@DEVICETREE_ADDRESS@@; echo "Booting using Separate images failed" fi -- cgit v1.2.3-54-g00ecf From b288439e79c87cbc3d7d0ed7879e9fd4adb873c8 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Wed, 28 Jun 2023 12:03:31 -0600 Subject: dfx-mgr: Move dfx-mgr bbappends from meta-petalinux Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- .../recipes-bsp/dfx-mgr/dfx-mgr_%.bbappend | 10 +++ .../dfx-mgr/files/zcu106-xlnx-firmware-detect | 71 ++++++++++++++++++++++ 2 files changed, 81 insertions(+) create mode 100644 meta-xilinx-bsp/recipes-bsp/dfx-mgr/dfx-mgr_%.bbappend create mode 100644 meta-xilinx-bsp/recipes-bsp/dfx-mgr/files/zcu106-xlnx-firmware-detect diff --git a/meta-xilinx-bsp/recipes-bsp/dfx-mgr/dfx-mgr_%.bbappend b/meta-xilinx-bsp/recipes-bsp/dfx-mgr/dfx-mgr_%.bbappend new file mode 100644 index 00000000..8fdf14bb --- /dev/null +++ b/meta-xilinx-bsp/recipes-bsp/dfx-mgr/dfx-mgr_%.bbappend @@ -0,0 +1,10 @@ +FILESEXTRAPATHS:append := ":${THISDIR}/files" + +SRC_URI += "file://zcu106-xlnx-firmware-detect" + +PACKAGE_ARCH:zcu106-zynqmp = "${MACHINE_ARCH}" + +# ZCU106 eval board firmware detection script. +do_install:append:zcu106-zynqmp () { + install -m 0755 ${WORKDIR}/zcu106-xlnx-firmware-detect ${D}${bindir}/xlnx-firmware-detect +} diff --git a/meta-xilinx-bsp/recipes-bsp/dfx-mgr/files/zcu106-xlnx-firmware-detect b/meta-xilinx-bsp/recipes-bsp/dfx-mgr/files/zcu106-xlnx-firmware-detect new file mode 100644 index 00000000..ef5654cc --- /dev/null +++ b/meta-xilinx-bsp/recipes-bsp/dfx-mgr/files/zcu106-xlnx-firmware-detect @@ -0,0 +1,71 @@ +#! /bin/sh + +# Copyright (C) 2022 Xilinx, Inc. All rights reserved. +# Copyright (C) 2023 Advanced Micro Devices, Inc. All rights reserved. +# +# SPDX-License-Identifier: MIT + +# read values from dfx-mgr conf file +conffile="/etc/dfx-mgrd/daemon.conf" +if [ ! -f "${conffile}" ]; then + echo "dfx-mgrd configuration file not found: ${conffile}" + exit 1 +fi + +fwbasedir=$(grep "firmware_location" ${conffile} | sed 's/.*:.*\[\"\(.*\)\"\],\?/\1/') +if [ -z "${fwbasedir}" ]; then + echo "Property 'firmware_location' not found in ${conffile}" + exit 1 +fi + +fwfile=$(grep "default_accel" ${conffile} | sed 's/.*:.*\"\(.*\)\",\?/\1/') +if [ -z "${fwfile}" ]; then + echo "Property 'default_accel' not found in ${conffile}" + exit 1 +fi + +# check if default firmware is already set and present +if [ -f "${fwfile}" ]; then + fwname=$(cat ${fwfile}) + fwdir="${fwbasedir}/${fwname}" + if [ -n "${fwname}" ] && [ -d "${fwdir}" ]; then + echo "Default firmware detected: ${fwname}" + exit 0 + fi +fi + +# search for firmware based on EEPROM board id +echo "Trying to detect default firmware based on EEPROM..." + +#check if board is a zcu106 eval board product +eeprom=$(ls /sys/bus/i2c/devices/*54/eeprom 2> /dev/null) +if [ -n "${eeprom}" ]; then + boardid=`dd if=$eeprom bs=1 count=6 skip=208 2>/dev/null | tr '[:upper:]' '[:lower:]'` + revision=`dd if=$eeprom bs=1 count=3 skip=224 2>/dev/null | tr '[:upper:]' '[:lower:]'` + + fwname="${boardid}-${revision}" + fwdir="${fwbasedir}/${fwname}" + + fixed_rev=2.0 + var=$(awk 'BEGIN{ print "'$fixed_rev'"<"'$revision'" }') + + if [ "${boardid}" == "zcu106" ] && [ "${var}" -eq 1 ] ;then + revision=2.0 + echo "later than 2.0 board revisions are supported in 2.0 bit and dtbo files" + fwname="${boardid}-${revision}" + fwdir="${fwbasedir}/${fwname}" + echo "${fwname}" > "${fwfile}" + exit 1 + elif [ ! -d "${fwdir}" ] ; then + echo "No default firmware named ${fwname} found in ${fwbasedir} , Loading rev1.0 bitstream and dtbo as default " + revision=1.0 + fwname=$(ls ${fwbasedir} | grep ${revision}) + fwdir="${fwbasedir}/${fwname}" + echo "${fwname}" > "${fwfile}" + exit 1 + fi + + echo "Default firmware detected: ${fwname}" + echo "${fwname}" > "${fwfile}" + exit 0 +fi -- cgit v1.2.3-54-g00ecf From b112aded8a929c11f4d71b2d7987f6c5d99434c7 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 30 Jun 2023 16:53:26 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_4319 esw: Update the compiler flags for system device-tree flow cmake: UserConfig: Add more user options lib: sw_apps: Update the memory tests and dhrystone yaml supported processor for cortexa9 processor lib: sw_apps: Use print_elf_size() macro for printing elf size cmake: Generate elf.size file when building the embedded application cmake: Add helper macro for printing the size of the target pmonpsv: Driver is going to be deprecated as IP feature is not part of Versal TRM xilpm: versal_common: server: Memory region node skeleton usb: usbpsu: src: Add SDT check for interrupt and interrupt parent uartps: Add support for system device-tree flow for uartps examples uartps: Add uartps examples list in yaml Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 8ecac2e9..49bb8ce2 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "8a6c14d1cc5d923466025a5ad83b9b816670d5b0" +ESW_REV[2023.2] = "7b32e8799d7733ff5e450699ced43dc355e81389" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From ac87a9aac8c5b52dbe7f3ce2e583f40cdceac213 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Sat, 1 Jul 2023 12:45:12 +0530 Subject: Updated Commit ID sysconfig: remove memory defconfigs Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 28c8dd40..942e8b45 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 28c8dd4068af39928c4ae2eae0308d76a357ec2d +Subproject commit 942e8b45edc2c63ef929691156ff02b26d003b44 -- cgit v1.2.3-54-g00ecf From 49fb210f907d298cc4c2a34d6ef59f2966ff4987 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Sun, 2 Jul 2023 12:52:08 +0530 Subject: dts : Updated SRCREV for 2023.2_8039 versal: Add support for xcvm1802 sck-kd: Update i2c devices and gem phy zynqmp: Add KV240 board zynqmp: Add KV Carrier Card zynqmp: Add KD240 board zynqmp: Add KD Carrier card Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb index 03f23db1..cefcdde2 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb @@ -2,5 +2,5 @@ require qemu-devicetrees.inc BRANCH ?= "master" -SRCREV ?= "db7644d497c62d029cf60161a2a784a50a96833b" +SRCREV ?= "e32860a75eb7284b608866aeba2106d9e1a160c9" -- cgit v1.2.3-54-g00ecf From 810ac772dab43eaa334b9ed6f340392c563238c2 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 3 Jul 2023 17:14:47 +0530 Subject: arm-trusted-firmware : Updated SRCREV for 2023.2_8891 chore(xilinx): update warning message feat(versal-net): add cluster check in handoff parameters feat(versal-net): get the handoff params using IPI chore(xilinx): replace fsbl with xbl chore(xilinx): follow kernel doc format for functional documentation fix(zynqmp): type cast addresses to fix overflow issue fix: integer suffix macro definition fix(versal): add missing irq mapping for wakeup src fix(zynqmp): fix prepare_dtb() memory description Signed-off-by: Siva Addepalli --- .../recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb index 8bf2f9fc..1238fbf5 100644 --- a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb @@ -1,5 +1,5 @@ ATF_VERSION = "2.8" -SRCREV = "ed3c4f0532b7d10ffd16a9c63d513c23dbfa28eb" +SRCREV = "65f14daf2ee17e60b09d244671e9187947e480a8" BRANCH = "xlnx_rebase_v2.8" LIC_FILES_CHKSUM = "file://license.rst;md5=1dd070c98a281d18d9eefd938729b031" -- cgit v1.2.3-54-g00ecf From 3f290f76cd935ee2bb01f3dc0d9a79c27a33302b Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 3 Jul 2023 17:15:17 +0530 Subject: qemu : Updated SRCREV for 2023.2_9227 hw/misc/xlnx-versal-pmx-global: Implement pmx_global_gd_monitor_enabled Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc index 872fb9d5..cc51f2d3 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc @@ -1,3 +1,3 @@ XILINX_QEMU_VERSION = "v7.1.0" BRANCH = "master" -SRCREV = "7d4bc887fbcaa67a6bc746215022756f75630ee2" +SRCREV = "bebe3a9aff6faee9202f6aa2ea2f8b4e777a6aa0" -- cgit v1.2.3-54-g00ecf From fc94b1dfffc57711f0c00505941f656b8f50ed4c Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 4 Jul 2023 17:55:47 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_8643 sw_apps: zynqmp_pmufw: MPSoC PMU MIO Reset Pin Control sw_services: xilpm: Integrate xilpm into vitisng/rigel flow versal: psmfw: Integrate psmfw into vitisng/rigel flow sw_apps: zynqmp_pmufw: Integrate pmufw into vitisng/rigel flow xilpm: server: Add support for device security, coherency and virt handling in Versal Net FB_Wr Driver: Updated addtogroup in driver src directory FB_Wr Driver: New video format to driver changes are added - Y_U_V8_420 FB_Wr Driver: Incremented driver version to v4.7 xilpm: versal: server: Add support for DDRMC5c pre-config xilpm: versal_common: server: XPm_WritePggs and XPm_ReadPggs bug fix xilpm: versal_net: server: Add CPM5N Bisr support xdmapcie: Update mdd version xdmapcie: Fix BAR allocation to consider maximum xdmapcie: Fix macro declaration for header type mask xdmapcie: Fix the BAR allocation issues based on requests. xdmapcie: Update copyright year SDITXSS Driver: Updated addtogroup driver in src files SDITXSS MDD: Incremented Driver version to v4_3 SDITXSS Driver: Modified Driver TCL file to correct device id value wdttb: Fix code format issue wdttb: Correct the interrupt ID for Versal-net platform rtcpsu: Fix code formatting issues with checkpatch rtcpsu: Add support for system device-tree flow for examples. rtcpsu: Add support for system device-tree flow client: Add specific error codes for failure cases server: Add specific error codes for KAT failure cases xilpm: CPPUTEST macro added to files xilpm: versal: SSIT temperature propagation xilrsa: Added Rigel support drivers: Fix code formatting issues with checkpatch drivers: Update doxygen documentation csudma: Fixed code formatting issue using checkpatch tool csudma: Update DCache operation for R52 bsp: standalone: Add xpm support for versal and versal net xilpm: Add SMC support for Request node, Reset Assert and Release Node canfd: Add support for request/release node canfd: Invoke XCanFd_stop function to release canfd node Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 49bb8ce2..039b11da 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "7b32e8799d7733ff5e450699ced43dc355e81389" +ESW_REV[2023.2] = "ef08558128ad75f34df43e59b57928519a56fbf9" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From eafcc0e9ee8514d1cbc0ff6e8490b911424ad87e Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 4 Jul 2023 17:57:31 +0530 Subject: dts : Updated SRCREV for 2023.2_9799 versal: Add alveo xcv80 device versal: Add support for xcvc2602 versal: Add support for xqvp1402 versal: Add support for xcvm2202 versal: Add support for xcve2202 versal: Add support for xcve2002 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb index cefcdde2..29b6edaf 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb @@ -2,5 +2,5 @@ require qemu-devicetrees.inc BRANCH ?= "master" -SRCREV ?= "e32860a75eb7284b608866aeba2106d9e1a160c9" +SRCREV ?= "61e42d524709debc3477f0d6449eaf6eaa49c986" -- cgit v1.2.3-54-g00ecf From d2bbae0753b471cb4c1318b6e663a3e4f72cceba Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Wed, 5 Jul 2023 12:45:14 +0530 Subject: Updated Commit ID Kconfig.part:gen_plnx_machine.py: Add no_labels support in gen-machine-conf gen_plnx_machine.py: Adjust script with autopep8 Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 942e8b45..42103906 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 942e8b45edc2c63ef929691156ff02b26d003b44 +Subproject commit 421039064d6045107a79813685610741669af088 -- cgit v1.2.3-54-g00ecf From 06df0c59450a2f83d76da5a73aecf955c614e0f0 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 5 Jul 2023 16:54:54 +0530 Subject: u-boot-xlnx : Updated SRCREV for 2023.2_1639 arm64: versal-net: add usb-wakeup interrupt in dwc3 core arm64: versal: Add no-wp DT property in OSPI flash node Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index be8f996d..209f081e 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "43192d0534ed77be0ec76b51a7698e251f10e6ab" +SRCREV = "9e09058131c9aec2e06812a8a3fa043ce0d9882b" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From 34e43eacab19783553524c37b6197fa66f3276ff Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Fri, 30 Jun 2023 15:43:29 -0500 Subject: linux-xlnx: Merge updated repository Also change default to be clearly undefined, this should prevent the wrong version from accidently being enabled. The following changes have occurred in the new branch: arm64: zynqmp: Add resets property for CAN in KD240 board can: xilinx_can: Add support for controller reset Merge tag 'v6.1.30' into xlnx_rebase_v6.1_LTS_2023.1_update arm64: zynqmp: Fix the memory node for k26/k24 kria som boards arm64: zynqmp: Cover K24/KD240 revB/1 boards Linux 6.1.30 drm/amdgpu: reserve the old gc_11_0_*_mes.bin drm/amd/amdgpu: introduce gc_*_mes_2.bin v2 drm/amdgpu: declare firmware for new MES 11.0.4 crypto: testmgr - fix RNG performance in fuzz tests remoteproc: imx_dsp_rproc: Fix kernel test robot sparse warning rethook, fprobe: do not trace rethook related functions rethook: use preempt_{disable, enable}_notrace in rethook_trampoline_handler arm64: mte: Do not set PG_mte_tagged if tags were not initialized s390/qdio: fix do_sqbs() inline assembly constraint s390/crypto: use vector instructions only if available for ChaCha20 s390/dasd: fix command reject error on ESE devices nilfs2: fix use-after-free bug of nilfs_root in nilfs_evict_inode() powerpc/64s/radix: Fix soft dirty tracking tpm/tpm_tis: Disable interrupts for more Lenovo devices powerpc/iommu: Incorrect DDW Table is referenced for SR-IOV device powerpc/iommu: DMA address offset is incorrectly calculated with 2MB TCEs dt-bindings: ata: ahci-ceva: Cover all 4 iommus entries drm/amdgpu/gfx11: update gpu_clock_counter logic drm/amdgpu: refine get gpu clock counter method drm/amdgpu/gfx11: Adjust gfxoff before powergating on gfx11 as well drm/amdgpu/gfx10: Disable gfxoff before disabling powergating. drm/amdgpu/gmc11: implement get_vbios_fb_size() drm/amd/pm: fix possible power mode mismatch between driver and PMFW ceph: force updating the msg pointer in non-split case vc_screen: reload load of struct vc_data pointer in vcs_write() to avoid UAF thunderbolt: Clear registers properly when auto clear isn't in use serial: qcom-geni: fix enabling deactivated interrupt serial: 8250_exar: Add support for USR298x PCI Modems serial: Add support for Advantech PCI-1611U card mm: fix zswap writeback race condition maple_tree: make maple state reusable after mas_empty_area() statfs: enforce statfs[64] structure initialization KVM: Fix vcpu_array[0] races ksmbd: fix global-out-of-bounds in smb2_find_context_vals ksmbd: fix wrong UserName check in session_user ksmbd: allocate one more byte for implied bcc[0] ksmbd: smb2: Allow messages padded to 8byte boundary SMB3: drop reference to cfile before sending oplock break SMB3: Close all deferred handles of inode in case of handle lease break wifi: rtw88: use work to update rate to avoid RCU warning can: kvaser_pciefd: Disable interrupts in probe error path can: kvaser_pciefd: Do not send EFLUSH command on TFD interrupt can: kvaser_pciefd: Clear listen-only bit if not explicitly requested can: kvaser_pciefd: Empty SRB buffer in probe can: kvaser_pciefd: Call request_irq() before enabling interrupts can: kvaser_pciefd: Set CAN_STATE_STOPPED in kvaser_pciefd_stop() can: isotp: recvmsg(): allow MSG_CMSG_COMPAT flag can: j1939: recvmsg(): allow MSG_CMSG_COMPAT flag ALSA: hda/realtek: Fix mute and micmute LEDs for yet another HP laptop ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 ALSA: hda/realtek: Add a quirk for HP EliteDesk 805 ALSA: hda/realtek: Add quirk for Clevo L140AU ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table ALSA: hda: Fix Oops by 9.1 surround channel names xhci: Fix incorrect tracking of free space on transfer rings xhci-pci: Only run d3cold avoidance quirk for s2idle Revert "usb: gadget: udc: core: Invoke usb_gadget_connect only when started" Revert "usb: gadget: udc: core: Prevent redundant calls to pullup" usb: typec: altmodes/displayport: fix pin_assignment_show usb: gadget: u_ether: Fix host MAC address case usb: dwc3: debugfs: Resume dwc3 before accessing registers usb: dwc3: gadget: Improve dwc3_gadget_suspend() and dwc3_gadget_resume() USB: UHCI: adjust zhaoxin UHCI controllers OverCurrent bit value usb-storage: fix deadlock when a scsi command timeouts more than once USB: usbtmc: Fix direction for 0-length ioctl control messages ALSA: usb-audio: Add a sample rate workaround for Line6 Pod Go bridge: always declare tunnel functions netfilter: nft_set_rbtree: fix null deref on element insertion netfilter: nf_tables: fix nft_trans type confusion net: selftests: Fix optstring net: pcs: xpcs: fix C73 AN not getting enabled net: wwan: iosm: fix NULL pointer dereference when removing device vlan: fix a potential uninit-value in vlan_dev_hard_start_xmit() igb: fix bit_shift to be in [1..8] range net: dsa: mv88e6xxx: Fix mv88e6393x EPC write command offset cassini: Fix a memory leak in the error handling path of cas_init_one() tun: Fix memory leak for detached NAPI queue. net: tun: rebuild error handling in tun_get_user scsi: storvsc: Don't pass unused PFNs to Hyper-V host wifi: iwlwifi: mvm: don't trust firmware n_channels wifi: iwlwifi: mvm: fix OEM's name in the tas approved list wifi: iwlwifi: fix OEM's name in the ppag approved list wifi: iwlwifi: fw: fix DBGI dump wifi: iwlwifi: mvm: fix cancel_delayed_work_sync() deadlock wifi: mac80211: Abort running color change when stopping the AP wifi: mac80211: fix min center freq offset tracing wifi: mac80211: fortify the spinlock against deadlock by interrupt wifi: cfg80211: Drop entries with invalid BSSIDs in RNR ice: Fix ice VF reset during iavf initialization ice: introduce clear_reset_state operation net: bcmgenet: Restore phy_stop() depending upon suspend/close net: bcmgenet: Remove phy_stop() from bcmgenet_netif_stop() can: dev: fix missing CAN XL support in can_put_echo_skb() s390/cio: include subchannels without devices also for evaluation tipc: check the bearer min mtu properly when setting it by netlink tipc: do not update mtu if msg_max is too small in mtu negotiation tipc: add tipc_bearer_min_mtu to calculate min mtu virtio_net: Fix error unwinding of XDP initialization virtio-net: Maintain reverse cleanup order net: nsh: Use correct mac_offset to unwind gso skb in nsh_gso_segment() drm/exynos: fix g2d_open/close helper function definitions ASoC: SOF: topology: Fix logic for copying tuples ASoC: mediatek: mt8186: Fix use-after-free in driver remove path SUNRPC: Fix trace_svc_register() call site SUNRPC: always free ctxt when freeing deferred request SUNRPC: double free xprt_ctxt while still in use media: netup_unidvb: fix use-after-free at del_timer() net: hns3: fix reset timeout when enable full VF net: hns3: fix reset delay time to avoid configuration timeout net: hns3: fix sending pfc frames after reset issue net: hns3: fix output information incomplete for dumping tx queue info with debugfs net: dsa: rzn1-a5psw: disable learning for standalone ports net: dsa: rzn1-a5psw: fix STP states handling net: dsa: rzn1-a5psw: enable management frames for CPU port erspan: get the proto with the md version for collect_md serial: 8250_bcm7271: fix leak in `brcmuart_probe` serial: 8250_bcm7271: balance clk_enable calls serial: arc_uart: fix of_iomap leak in `arc_serial_probe` tcp: fix possible sk_priority leak in tcp_v4_send_reset() vsock: avoid to close connected socket after the timeout sfc: disable RXFCS and RXALL features by default ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion 15 wifi: mt76: connac: fix stats->tx_bytes calculation ALSA: firewire-digi00x: prevent potential use after free net: phy: dp83867: add w/a for packet errors seen with short cables net: fec: Better handle pm_runtime_get() failing in .remove() selftets: seg6: disable rp_filter by default in srv6_end_dt4_l3vpn_test selftests: seg6: disable DAD on IPv6 router cfg for srv6_end_dt4_l3vpn_test drm/msm: Fix submit error-path leaks af_key: Reject optional tunnel/BEET mode templates in outbound policies xfrm: Reject optional tunnel/BEET mode templates in outbound policies cpupower: Make TSC read per CPU for Mperf monitor ASoC: fsl_micfil: Fix error handler with pm_runtime_enable platform: Provide a remove callback that returns no value dt-bindings: display/msm: dsi-controller-main: Document qcom, master-dsi and qcom, sync-dual-dsi drm/msm/dpu: Remove duplicate register defines from INTF drm/msm/dpu: Move non-MDP_TOP INTF_INTR offsets out of hwio header drm/msm/dpu: Assign missing writeback log_mask drm/msm/dp: unregister audio driver during unbind Revert "Fix XFRM-I support for nested ESP tunnels" xfrm: don't check the default policy if the policy allows the packet drm/amdgpu: drop gfx_v11_0_cp_ecc_error_irq_funcs platform/x86: hp-wmi: add micmute to hp_wmi_keymap struct platform/x86: Move existing HP drivers to a new hp subdir parisc: Replace regular spinlock with spin_trylock on panic path mfd: intel-lpss: Add Intel Meteor Lake PCH-S LPSS PCI IDs mfd: dln2: Fix memory leak in dln2_probe() mfd: intel_soc_pmic_chtwc: Add Lenovo Yoga Book X90F to intel_cht_wc_models soundwire: bus: Fix unbalanced pm_runtime_put() causing usage count underflow soundwire: qcom: gracefully handle too many ports in DT phy: st: miphy28lp: use _poll_timeout functions for waits soundwire: dmi-quirks: add remapping for Intel 'Rooks County' NUC M15 recordmcount: Fix memory leaks in the uwrite function lkdtm/stackleak: Fix noinstr violation sched: Fix KCSAN noinstr violation mcb-pci: Reallocate memory region to avoid memory overlapping serial: 8250: Reinit port->pm on port specific driver unbind usb: typec: tcpm: fix multiple times discover svids error HID: wacom: generic: Set battery quirk only when we see battery data HID: Ignore battery for ELAN touchscreen on ROG Flow X13 GV301RA HID: apple: Set the tilde quirk flag on the Geyser 3 ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x ASoC: amd: Add Dell G15 5525 to quirks list ALSA: hda: LNL: add HD Audio PCI ID usb: typec: ucsi: acpi: add quirk for ASUS Zenbook UM325 spi: spi-imx: fix MX51_ECSPI_* macros when cs > 3 HID: logitech-hidpp: Reconcile USB and Unifying serials HID: logitech-hidpp: Don't use the USB serial for USB devices ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A42) staging: axis-fifo: initialize timeouts in init only HID: apple: Set the tilde quirk flag on the Geyser 4 and later staging: rtl8192e: Replace macro RTL_PCI_DEVICE with PCI_DEVICE Bluetooth: btrtl: Add the support for RTL8851B Bluetooth: L2CAP: fix "bad unlock balance" in l2cap_disconnect_rsp Bluetooth: Add new quirk for broken set random RPA timeout for ATS2851 Bluetooth: hci_bcm: Fall back to getting bdaddr from EFI if not set Bluetooth: btintel: Add LE States quirk support Bluetooth: btrtl: check for NULL in btrtl_set_quirks() Bluetooth: Improve support for Actions Semi ATS2851 based devices Bluetooth: btrtl: add support for the RTL8723CS Bluetooth: Add new quirk for broken local ext features page 2 Bluetooth: btusb: Add new PID/VID 04ca:3801 for MT7663 ipvs: Update width of source for ip_vs_sync_conn_options nbd: fix incomplete validation of ioctl arg wifi: ath11k: Fix SKB corruption in REO destination ring wifi: iwlwifi: dvm: Fix memcpy: detected field-spanning write backtrace null_blk: Always check queue mode setting from configfs wifi: iwlwifi: fix iwl_mvm_max_amsdu_size() for MLO wifi: ath11k: Ignore frags from uninitialized peer in dp. block, bfq: Fix division by zero error on zero wsum wifi: iwlwifi: mvm: fix ptk_pn memory leak wifi: iwlwifi: pcie: Fix integer overflow in iwl_write_to_user_buf wifi: iwlwifi: add a new PCI device ID for BZ device wifi: iwlwifi: pcie: fix possible NULL pointer dereference md: fix soft lockup in status_resync bpf: Add preempt_count_{sub,add} into btf id deny list samples/bpf: Fix fout leak in hbm's run_bpf_prog f2fs: fix to check readonly condition correctly f2fs: fix to drop all dirty pages during umount() if cp_error is set f2fs: Fix system crash due to lack of free space in LFS crypto: jitter - permanent and intermittent health errors ext4: Fix best extent lstart adjustment logic in ext4_mb_new_inode_pa() ext4: set goal start correctly in ext4_mb_normalize_request scsi: ufs: ufs-pci: Add support for Intel Lunar Lake gfs2: Fix inode height consistency check scsi: message: mptlan: Fix use after free bug in mptlan_remove() due to race condition lib: cpu_rmap: Avoid use after free on rmap->obj array entries scsi: target: iscsit: Free cmds before session free netdev: Enforce index cap in netdev_get_tx_queue net: Catch invalid index in XPS mapping net: pasemi: Fix return type of pasemi_mac_start_tx() bnxt: avoid overflow in bnxt_get_nvram_directory() scsi: lpfc: Correct used_rpi count when devloss tmo fires with no recovery scsi: lpfc: Prevent lpfc_debugfs_lockstat_write() buffer overflow ext2: Check block size validity during mount wifi: brcmfmac: cfg80211: Pass the PMK in binary instead of hex wifi: brcmfmac: pcie: Provide a buffer of random bytes to the device bpf: Annotate data races in bpf_local_storage wifi: ath: Silence memcpy run-time false positive warning media: mediatek: vcodec: Fix potential array out-of-bounds in decoder queue_setup media: Prefer designated initializers over memset for subdev pad ops drm/amdgpu: Fix sdma v4 sw fini error drm/amd: Fix an out of bounds error in BIOS parser drm/amd/display: Correct DML calculation to follow HW SPEC ACPI: video: Remove desktops without backlight DMI quirks irqchip/gicv3: Workaround for NVIDIA erratum T241-FABRIC-4 arm64: dts: qcom: sdm845-polaris: Drop inexistent properties ACPICA: ACPICA: check null return of ACPI_ALLOCATE_ZEROED in acpi_db_display_objects ACPICA: Avoid undefined behavior: applying zero offset to null pointer drm/msm/dp: Clean up handling of DP AUX interrupts drm/tegra: Avoid potential 32-bit integer overflow remoteproc: stm32_rproc: Add mutex protection for workqueue drm/amd/display: fixed dcn30+ underflow issue ACPI: EC: Fix oops when removing custom query handlers firmware: arm_sdei: Fix sleep from invalid context BUG arm64: dts: imx8mq-librem5: Remove dis_u3_susphy_quirk from usb_dwc3_0 memstick: r592: Fix UAF bug in r592_remove due to race condition drm/rockchip: dw_hdmi: cleanup drm encoder during unbind ACPI: processor: Check for null return of devm_kzalloc() in fch_misc_setup() media: pvrusb2: VIDEO_PVRUSB2 depends on DVB_CORE to use dvb_* symbols media: pci: tw68: Fix null-ptr-deref bug in buf prepare and finish media: cx23885: Fix a null-ptr-deref bug in buffer_prepare() and buffer_finish() arm64: dts: qcom: msm8996: Add missing DWC3 quirks remoteproc: imx_dsp_rproc: Add custom memory copy implementation for i.MX DSP Cores regmap: cache: Return error in cache sync operations for REGCACHE_NONE drm/amd/display: Use DC_LOG_DC in the trasform pixel function drm/amd/display: Enable HostVM based on rIOMMU active platform/x86: x86-android-tablets: Add Acer Iconia One 7 B1-750 data drm/amd/display: Correct DML calculation to align HW formula drm/amd/display: populate subvp cmd info only for the top pipe drm/displayid: add displayid_get_header() and check bounds better fs: hfsplus: remove WARN_ON() from hfsplus_cat_{read,write}_inode() open: return EINVAL for O_DIRECTORY | O_CREAT rcu: Protect rcu_print_task_exp_stall() ->exp_tasks access selftests: cgroup: Add 'malloc' failures checks in test_memcontrol refscale: Move shutdown from wait_event() to wait_event_idle() ext4: allow ext4_get_group_info() to fail ext4: allow to find by goal if EXT4_MB_HINT_GOAL_ONLY is set ext4: don't clear SB_RDONLY when remounting r/w until quota is re-enabled ext4: reflect error codes from ext4_multi_mount_protect() to its callers fbdev: arcfb: Fix error handling in arcfb_probe() drm/i915: taint kernel when force probing unsupported devices drm/i915: Expand force_probe to block probe of devices as well. drm/i915/dp: prevent potential div-by-zero drm/i915: Fix NULL ptr deref by checking new_crtc_state drm/i915/guc: Don't capture Gen8 regs on Xe devices af_unix: Fix data races around sk->sk_shutdown. af_unix: Fix a data race of sk->sk_receive_queue->qlen. net: datagram: fix data-races in datagram_poll() net: mscc: ocelot: fix stat counter register values ipvlan:Fix out-of-bounds caused by unclear skb->cb gve: Remove the code of clearing PBA bit tcp: add annotations around sk->sk_shutdown accesses net: add vlan_get_protocol_and_depth() helper net: deal with most data-races in sk_wait_event() net: annotate sk->sk_err write from do_recvmmsg() netlink: annotate accesses to nlk->cb_running bonding: fix send_peer_notif overflow netfilter: conntrack: fix possible bug_on with enable_hooks=1 netfilter: nf_tables: always release netdev hooks from notifier net: phy: bcm7xx: Correct read from expansion register net: Fix load-tearing on sk->sk_stamp in sock_recv_cmsgs(). net: stmmac: Initialize MAC_ONEUS_TIC_COUNTER register linux/dim: Do nothing if no time delta between samples tick/broadcast: Make broadcast device replacement work correctly scsi: ufs: core: Fix I/O hang that occurs when BKOPS fails in W-LUN suspend net: mdio: mvusb: Fix an error handling path in mvusb_mdio_probe() net: skb_partial_csum_set() fix against transport header magic value ARM: 9296/1: HP Jornada 7XX: fix kernel-doc warnings drm/mipi-dsi: Set the fwnode for mipi_dsi_device drm/fbdev-generic: prohibit potential out-of-bounds access Linux 6.1.29 drm/amd/display: Fix hang when skipping modeset spi: fsl-cpm: Use 16 bit mode for large transfers with even size spi: fsl-spi: Re-organise transfer bits_per_word adaptation x86: fix clear_user_rep_good() exception handling annotation x86/amd_nb: Add PCI ID for family 19h model 78h f2fs: inode: fix to do sanity check on extent cache correctly f2fs: fix to do sanity check on extent cache correctly drm/dsc: fix DP_DSC_MAX_BPP_DELTA_* macro values ext4: fix invalid free tracking in ext4_xattr_move_to_block() ext4: remove a BUG_ON in ext4_mb_release_group_pa() ext4: fix lockdep warning when enabling MMP ext4: bail out of ext4_xattr_ibody_get() fails for any reason ext4: add bounds checking in get_max_inline_xattr_value_size() ext4: fix deadlock when converting an inline directory in nojournal mode ext4: improve error handling from ext4_dirhash() ext4: improve error recovery code paths in __ext4_remount() ext4: check iomap type only if ext4_iomap_begin() does not fail ext4: fix data races when using cached status extents ext4: avoid a potential slab-out-of-bounds in ext4_group_desc_csum ext4: fix WARNING in mb_find_extent locking/rwsem: Add __always_inline annotation to __down_read_common() and inlined callers drm/dsc: fix drm_edp_dsc_sink_output_bpp() DPCD high byte usage drm: Add missing DP DSC extended capability definitions. ksmbd: fix racy issue from smb2 close and logoff with multichannel ksmbd: block asynchronous requests when making a delay on session setup ksmbd: destroy expired sessions ksmbd: fix racy issue from session setup and logoff ksmbd: Implements sess->ksmbd_chann_list as xarray drm/amd/display: Change default Z8 watermark values drm/amd/display: Update Z8 SR exit/enter latencies drm/amd/display: Update Z8 watermarks for DCN314 ASoC: codecs: wcd938x: fix accessing regmap on unattached devices ASoC: codecs: constify static sdw_slave_ops struct ASoC: rt1318: Add RT1318 SDCA vendor-specific driver drm/amd/display: Lowering min Z8 residency time drm/amd/display: Update minimum stutter residency for DCN314 Z8 drm/amd/display: Add minimum Z8 residency debug option drm/amd/display: Fix Z8 support configurations drm/amd/display: Add debug option to skip PSR CRTC disable drm/amd/display: Add Z8 allow states to z-state support list drm/amd/display: Refactor eDP PSR codes drm/i915: Check pipe source size when using skl+ scalers drm/i915/mtl: update scaler source and destination limits for MTL wifi: rtw88: rtw8821c: Fix rfe_option field width irqchip/loongson-eiointc: Fix registration of syscore_ops irqchip/loongson-eiointc: Fix incorrect use of acpi_get_vec_parent irqchip/loongarch: Adjust acpi_cascade_irqdomain_init() and sub-routines drm/msm: fix missing wq allocation error handling drm/msm: Hangcheck progress detection drm/msm/adreno: Simplify read64/write64 helpers f2fs: factor out victim_entry usage from general rb_tree use f2fs: allocate the extent_cache by default f2fs: refactor extent_cache to support for read and more f2fs: remove unnecessary __init_extent_tree f2fs: move internal functions into extent_cache.c f2fs: specify extent cache for read explicitly drm/msm/adreno: adreno_gpu: Use suspend() instead of idle() on load error fs/ntfs3: Refactoring of various minor issues HID: wacom: insert timestamp to packed Bluetooth (BT) events HID: wacom: Set a default resolution for older tablets drm/amd: Use `amdgpu_ucode_*` helpers for MES drm/amd: Add a new helper for loading/validating microcode drm/amd: Load MES microcode during early_init drm/amdgpu: remove deprecated MES version vars drm/amd/pm: avoid potential UBSAN issue on legacy asics drm/amdgpu: disable sdma ecc irq only when sdma RAS is enabled in suspend drm/amd/pm: parse pp_handle under appropriate conditions drm/amd/display: Enforce 60us prefetch for 200Mhz DCFCLK modes drm/amdgpu: Fix vram recover doesn't work after whole GPU reset (v2) drm/amdgpu: change gfx 11.0.4 external_id range drm/amdgpu/jpeg: Remove harvest checking for JPEG3 drm/amdgpu/gfx: disable gfx9 cp_ecc_error_irq only when enabling legacy gfx ras drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v11_0_hw_fini drm/amdgpu: fix an amdgpu_irq_put() issue in gmc_v9_0_hw_fini() drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v10_0_hw_fini drm/amd/display: fix flickering caused by S/G mode drm/amd/display: filter out invalid bits in pipe_fuses drm/amd/display: Fix 4to1 MPC black screen with DPP RCO drm/amd/display: Add NULL plane_state check for cursor disable logic drm/panel: otm8009a: Set backlight parent to panel device irqchip/loongson-eiointc: Fix returned value on parsing MADT irqchip/loongson-pch-pic: Fix pch_pic_acpi_init calling f2fs: fix potential corruption when moving a directory f2fs: fix null pointer panic in tracepoint in __replace_atomic_write_block drm/i915/dsi: Use unconditional msleep() instead of intel_dsi_msleep() drm/msm: fix workqueue leak on bind errors drm/msm: fix vram leak on bind errors drm/msm: fix drm device leak on bind errors drm/msm: fix NULL-deref on irq uninstall drm/msm: fix NULL-deref on snapshot tear down drm/i915/color: Fix typo for Plane CSC indexes drm/bridge: lt8912b: Fix DSI Video Mode drm/msm/adreno: fix runtime PM imbalance at gpu load ARM: dts: aspeed: romed8hm3: Fix GPIO polarity of system-fault LED ARM: dts: s5pv210: correct MIPI CSIS clock name ARM: dts: exynos: fix WM8960 clock name in Itop Elite ARM: dts: aspeed: asrock: Correct firmware flash SPI clocks sysctl: clarify register_sysctl_init() base directory order remoteproc: rcar_rproc: Call of_node_put() on iteration error remoteproc: imx_rproc: Call of_node_put() on iteration error remoteproc: imx_dsp_rproc: Call of_node_put() on iteration error remoteproc: st: Call of_node_put() on iteration error remoteproc: stm32: Call of_node_put() on iteration error proc_sysctl: enhance documentation proc_sysctl: update docs for __register_sysctl_table() sh: nmi_debug: fix return value of __setup handler sh: init: use OF_EARLY_FLATTREE for early init sh: mcount.S: fix build error when PRINTK is not enabled sh: math-emu: fix macro redefined warning SMB3: force unmount was failing to close deferred close files smb3: fix problem remounting a share after shutdown inotify: Avoid reporting event with invalid wd platform/x86: thinkpad_acpi: Add profile force ability platform/x86: touchscreen_dmi: Add info for the Dexp Ursus KX210i platform/x86: thinkpad_acpi: Fix platform profiles on T490 platform/x86: touchscreen_dmi: Add upside-down quirk for GDIX1002 ts on the Juno Tablet platform/x86/intel-uncore-freq: Return error on write frequency cifs: release leases for deferred close handles when freezing cifs: fix pcchunk length type in smb2_copychunk_range btrfs: zoned: fix full zone super block reading on ZNS btrfs: zoned: zone finish data relocation BG with last IO btrfs: fix space cache inconsistency after error loading it from disk btrfs: print-tree: parent bytenr must be aligned to sector size btrfs: make clear_cache mount option to rebuild FST without disabling it btrfs: zero the buffer before marking it dirty in btrfs_redirty_list_add btrfs: don't free qgroup space unless specified btrfs: fix encoded write i_size corruption with no-holes btrfs: fix assertion of exclop condition when starting balance btrfs: properly reject clear_cache and v1 cache for block-group-tree btrfs: zoned: fix wrong use of bitops API in btrfs_ensure_empty_zones btrfs: fix btrfs_prev_leaf() to not return the same key twice x86/retbleed: Fix return thunk alignment RISC-V: fix taking the text_mutex twice during sifive errata patching RISC-V: take text_mutex during alternative patching perf stat: Separate bperf from bpf_profiler perf tracepoint: Fix memory leak in is_valid_tracepoint() perf symbols: Fix return incorrect build_id size in elf_read_build_id() crypto: engine - fix crypto_queue backlog handling crypto: engine - Use crypto_request_complete crypto: api - Add scaffolding to change completion function signature crypto: sun8i-ss - Fix a test in sun8i_ss_setup_ivs() perf cs-etm: Fix timeless decode mode detection perf map: Delete two variable initialisations before null pointer checks in sort__sym_from_cmp() perf pmu: zfree() expects a pointer to a pointer to zero it after freeing its contents perf vendor events power9: Remove UTF-8 characters from JSON files perf ftrace: Make system wide the default target for latency subcommand perf tests record_offcpu.sh: Fix redirection of stderr to stdin perf vendor events s390: Remove UTF-8 characters from JSON file perf scripts intel-pt-events.py: Fix IPC output for Python 2 perf record: Fix "read LOST count failed" msg with sample read net: enetc: check the index of the SFI rather than the handle virtio_net: suppress cpu stall when free_unused_bufs ice: block LAN in case of VF to VF offload net: dsa: mt7530: fix network connectivity with multiple CPU ports net: dsa: mt7530: split-off common parts from mt7531_setup net: dsa: mt7530: fix corrupt frames using trgmii on 40 MHz XTAL MT7621 KVM: s390: fix race in gmap_make_secure() ALSA: caiaq: input: Add error handling for unsupported input methods in `snd_usb_caiaq_input_init` drm/amdgpu: add a missing lock for AMDGPU_SCHED af_packet: Don't send zero-byte data in packet_sendmsg_spkt(). ionic: catch failure from devlink_alloc ethtool: Fix uninitialized number of lanes ionic: remove noise from ethtool rxnfc error msg octeontx2-vf: Detach LF resources on probe cleanup octeontx2-pf: Disable packet I/O for graceful exit octeontx2-af: Skip PFs if not enabled octeontx2-af: Fix issues with NPC field hash extract octeontx2-af: Update/Fix NPC field hash extract feature octeontx2-pf: Add additional checks while configuring ucast/bcast/mcast rules octeontx2-af: Allow mkex profile without DMAC and add L2M/L2B header extraction support octeontx2-pf: Increase the size of dmac filter flows octeontx2-af: Fix depth of cam and mem table. octeontx2-af: Fix start and end bit for scan config octeontx2-af: Secure APR table update with the lock selftests: netfilter: fix libmnl pkg-config usage drm/i915/mtl: Add the missing CPU transcoder mask in intel_device_info riscv: compat_syscall_table: Fixup compile warning rxrpc: Fix hard call timeout units sfc: Fix module EEPROM reporting for QSFP modules r8152: move setting r8153b_rx_agg_chg_indicate() r8152: fix the poor throughput for 2.5G devices r8152: fix flow control issue of RTL8156A net/sched: act_mirred: Add carrier check i2c: tegra: Fix PEC support for SMBUS block read RISC-V: mm: Enable huge page support to kernel_page_present() function watchdog: dw_wdt: Fix the error handling path of dw_wdt_drv_probe() block: Skip destroyed blkg when restart in blkg_destroy_all() writeback: fix call of incorrect macro net: dsa: mv88e6xxx: add mv88e6321 rsvd2cpu net: ipv6: fix skb hash for some RST packets selftests: srv6: make srv6_end_dt46_l3vpn_test more robust sit: update dev->needed_headroom in ipip6_tunnel_bind_dev() net/sched: cls_api: remove block_cb from driver_list before freeing tcp: fix skb_copy_ubufs() vs BIG TCP net/ncsi: clear Tx enable mode when handling a Config required AEN octeontx2-pf: mcs: Do not reset PN while updating secy octeontx2-pf: mcs: Fix shared counters logic octeontx2-pf: mcs: Clear stats before freeing resource octeontx2-pf: mcs: Match macsec ethertype along with DMAC octeontx2-pf: mcs: Fix NULL pointer dereferences octeontx2-af: mcs: Fix MCS block interrupt octeontx2-af: mcs: Config parser to skip 8B header octeontx2-af: mcs: Write TCAM_DATA and TCAM_MASK registers at once octeonxt2-af: mcs: Fix per port bypass config ixgbe: Fix panic during XDP_TX with > 64 CPUs drm/amd/display: Update bounding box values for DCN321 drm/amd/display: Do not clear GPINT register when releasing DMUB from reset drm/amd/display: Reset OUTBOX0 r/w pointer on DMUB reset drm/amd/display: Fixes for dcn32_clk_mgr implementation drm/amd/display: Return error code on DSC atomic check failure drm/amd/display: Add missing WA and MCLK validation drm/amd/display: Remove FPU guards from the DML folder scsi: qedi: Fix use after free bug in qedi_remove() ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer working KVM: x86/mmu: Refresh CR0.WP prior to checking for emulated permission faults KVM: VMX: Make CR0.WP a guest owned bit KVM: x86: Make use of kvm_read_cr*_bits() when testing bits KVM: x86: Do not unload MMU roots when only toggling CR0.WP with TDP enabled KVM: x86/mmu: Avoid indirect call for get_cr3 drm/amd/display: Ext displays with dock can't recognized after resume fs/ntfs3: Fix null-ptr-deref on inode->i_op in ntfs_lookup() mtd: spi-nor: spansion: Enable JFFS2 write buffer for Infineon s25hx SEMPER flash mailbox: zynqmp: Fix counts of child nodes mailbox: zynq: Switch to flexible array to simplify code soc: qcom: llcc: Do not create EDAC platform device on SDM845 qcom: llcc/edac: Support polling mode for ECC handling mtd: spi-nor: spansion: Enable JFFS2 write buffer for Infineon s28hx SEMPER flash mtd: spi-nor: Add a RWW flag mtd: spi-nor: add SFDP fixups for Quad Page Program mtd: spi-nor: spansion: Remove NO_SFDP_FLAGS from s28hs512t info KVM: x86/pmu: Disallow legacy LBRs if architectural LBRs are available KVM: x86: Track supported PERF_CAPABILITIES in kvm_caps perf/x86/core: Zero @lbr instead of returning -1 in x86_perf_get_lbr() stub crypto: ccp - Clear PSP interrupt status register before calling handler drm/vmwgfx: Fix Legacy Display Unit atomic drm support drm/vmwgfx: Remove explicit and broken vblank handling usb: dwc3: gadget: Execute gadget stop after halting the controller USB: dwc3: gadget: drop dead hibernation code arm64: zynqmp: Fix User MTD partition size arm64: zynqmp: Configure gem1 rx pins on kd240 board arm64: zynqmp: Wire can0 on kd240-revA tty: serial: uartps: Add rs485 support to uartps driver dt-bindings: Add optional gpio property to uartps node to support rs485 Linux 6.1.28 netfilter: nf_tables: deactivate anonymous set from preparation phase scsi: libsas: Grab the ATA port lock in sas_ata_device_link_abort() debugobject: Ensure pool refill (again) drm/amd/display (gcc13): fix enum mismatch i40e: use int for i40e_status i40e: Remove string printing for i40e_status i40e: Remove unused i40e status codes sfc (gcc13): synchronize ef100_enqueue_skb()'s return type block/blk-iocost (gcc13): keep large values in a new enum perf intel-pt: Fix CYC timestamps after standalone CBR perf auxtrace: Fix address filter entire kernel size wifi: ath11k: synchronize ath11k_mac_he_gi_to_nl80211_he_gi()'s return type bonding (gcc13): synchronize bond_{a,t}lb_xmit() types thunderbolt: Use correct type in tb_port_is_clx_enabled() prototype cifs: protect session status check in smb2_reconnect() cifs: fix potential use-after-free bugs in TCP_Server_Info::hostname blk-iocost: avoid 64-bit division in ioc_timer_fn dm: don't lock fs when the map is NULL in process of resume dm ioctl: fix nested locking in table_clear() to remove deadlock concern dm flakey: fix a crash with invalid table line dm integrity: call kmem_cache_destroy() in dm_integrity_init() error path dm clone: call kmem_cache_destroy() in dm_clone_init() error path dm verity: fix error handling for check_at_most_once on FEC vhost_vdpa: fix unmap process in no-batch mode mm/mempolicy: correctly update prev when policy is equal on mbind ia64: fix an addr to taddr in huge_pte_offset() s390/dasd: fix hanging blockdevice after request requeue btrfs: scrub: reject unsupported scrub flags scripts/gdb: fix lx-timerlist for Python3 clk: rockchip: rk3399: allow clk_cifout to force clk_cifout_src to reparent clk: microchip: fix potential UAF in auxdev release callback wifi: rtw89: fix potential race condition between napi_init and napi_enable wifi: rtl8xxxu: RTL8192EU always needs full init mailbox: zynqmp: Fix typo in IPI documentation kcsan: Avoid READ_ONCE() in read_instrumented_memory() mailbox: zynqmp: Fix IPI isr handling mtd: spi-nor: core: Update flash's current address mode when changing address mode mtd: core: fix error path for nvmem provider mtd: core: fix nvmem error reporting mtd: core: provide unique name for nvmem device, take two kasan: hw_tags: avoid invalid virt_to_page() md/raid5: Improve performance for sequential IO md/raid10: fix null-ptr-deref in raid10_sync_request drbd: correctly submit flush bio on barrier mm: do not reclaim private data from pinned page nilfs2: fix infinite loop in nilfs_mdt_get_block() nilfs2: do not write dirty data after degenerating to read-only ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41 ALSA: hda/realtek: Add quirk for ThinkPad P1 Gen 6 ALSA: usb-audio: Add quirk for Pioneer DDJ-800 parisc: Ensure page alignment in flush functions parisc: Fix argument pointer in real64_call_asm() afs: Avoid endless loop if file is larger than expected afs: Fix getattr to report server i_size on dirs, not local size afs: Fix updating of i_size with dv jump from server PM: hibernate: Do not get block device exclusively in test_resume mode PM: hibernate: Turn snapshot_test into global variable ACPI: PM: Do not turn of unused power resources on the Toshiba Click Mini hte: tegra-194: Fix off by one in tegra_hte_map_to_line_id() hte: tegra: fix 'struct of_device_id' build error mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE mfd: ocelot-spi: Fix unsupported bulk read mfd: tqmx86: Correct board names for TQMxE39x mfd: tqmx86: Specify IO port register range more precisely mfd: tqmx86: Do not access I2C_DETECT register through io_base thermal/drivers/mediatek: Use devm_of_iomap to avoid resource leak in mtk_thermal_probe pinctrl-bcm2835.c: fix race condition when setting gpio dir dmaengine: at_xdmac: do not enable all cyclic channels dmaengine: dw-edma: Fix to enable to issue dma request on DMA processing dmaengine: dw-edma: Fix to change for continuous transfer dma: gpi: remove spurious unlock in gpi_ch_init phy: ti: j721e-wiz: Fix unreachable code in wiz_mode_select() phy: tegra: xusb: Add missing tegra_xusb_port_unregister for usb2_port and ulpi_port soundwire: intel: don't save hw_params for use in prepare soundwire: cadence: rename sdw_cdns_dai_dma_data as sdw_cdns_dai_runtime pwm: mtk-disp: Configure double buffering before reading in .get_state() pwm: mtk-disp: Disable shadow registers before setting backlight values leds: tca6507: Fix error handling of using fwnode_property_read_string dmaengine: mv_xor_v2: Fix an error code. pinctrl: ralink: reintroduce ralink,rt2880-pinmux compatible string leds: TI_LMU_COMMON: select REGMAP instead of depending on it pinctrl: renesas: r8a779g0: Fix ERROROUTC function names pinctrl: renesas: r8a779g0: Fix Group 6/7 pin functions pinctrl: renesas: r8a779g0: Fix Group 4/5 pin functions pinctrl: renesas: r8a779f0: Fix tsn1_avtp_pps pin group pinctrl: renesas: r8a779a0: Remove incorrect AVB[01] pinmux configuration ext4: fix use-after-free read in ext4_find_extent for bigalloc + inline ext4: fix i_disksize exceeding i_size problem in paritally written case SMB3: Close deferred file handles in case of handle lease break SMB3: Add missing locks to protect deferred close file list timekeeping: Fix references to nonexistent ktime_get_fast_ns() openrisc: Properly store r31 to pt_regs on unhandled exceptions clocksource/drivers/davinci: Fix memory leak in davinci_timer_register when init fails RDMA/mlx5: Use correct device num_ports when modify DC SUNRPC: remove the maximum number of retries in call_bind_status RDMA/mlx5: Fix flow counter query via DEVX RDMA/mlx5: Check pcie_relaxed_ordering_enabled() in UMR swiotlb: fix debugfs reporting of reserved memory pools swiotlb: relocate PageHighMem test away from rmem_swiotlb_setup Input: raspberrypi-ts - fix refcount leak in rpi_ts_probe clk: qcom: dispcc-qcm2290: Remove inexistent DSI1PHY clk clk: qcom: dispcc-qcm2290: get rid of test clock clk: qcom: gcc-sm8350: fix PCIe PIPE clocks handling clk: qcom: lpassaudiocc-sc7280: Add required gdsc power domain clks in lpass_cc_sc7280_desc clk: qcom: lpasscc-sc7280: Skip qdsp6ss clock registration iommu/amd: Set page size bitmap during V2 domain allocation NFSv4.1: Always send a RECLAIM_COMPLETE after establishing lease clk: imx: imx8ulp: Fix XBAR_DIVBUS and AD_SLOW clock parents clk: imx: fracn-gppll: disable hardware select control clk: imx: fracn-gppll: fix the rate table IB/hfi1: Fix bugs with non-PAGE_SIZE-end multi-iovec user SDMA requests IB/hfi1: Fix SDMA mmu_rb_node not being evicted in LRU order RDMA/srpt: Add a check for valid 'mad_agent' pointer RDMA/cm: Trace icm_send_rej event before the cm state is reset power: supply: rk817: Fix low SOC bugs clk: qcom: gcc-sm6115: Mark RCGs shared where applicable RDMA/siw: Remove namespace check from siw_netdev_event() clk: add missing of_node_put() in "assigned-clocks" property parsing power: supply: generic-adc-battery: fix unit scaling iommu/mediatek: Set dma_mask for PGTABLE_PA_35_EN fs/ntfs3: Fix slab-out-of-bounds read in hdr_delete_de() fs/ntfs3: Fix OOB read in indx_insert_into_buffer fs/ntfs3: Add check for kmemdup fs/ntfs3: Fix memory leak if ntfs_read_mft failed RDMA/erdma: Use fixed hardware page size rtc: k3: handle errors while enabling wake irq rtc: meson-vrtc: Use ktime_get_real_ts64() to get the current time RDMA/mlx4: Prevent shift wrapping in set_user_sq_size() rtc: omap: include header for omap_rtc_power_off_program prototype workqueue: Fix hung time report of worker pools clk: qcom: gcc-qcm2290: Fix up gcc_sdcc2_apps_clk_src RDMA/rdmavt: Delete unnecessary NULL check clk: mediatek: mt8135: Properly use CLK_IS_CRITICAL flag clk: mediatek: mt7622: Properly use CLK_IS_CRITICAL flag clk: mediatek: Consistently use GATE_MTK() macro clk: mediatek: mt2712: Add error handling to clk_mt2712_apmixed_probe() RDMA/siw: Fix potential page_array out of range access IB/hifi1: add a null check of kzalloc_node in hfi1_ipoib_txreq_init clk: at91: clk-sam9x60-pll: fix return value check tracing/user_events: Ensure write index cannot be negative sched/rt: Fix bad task migration for rt tasks riscv: Fix ptdump when KASAN is enabled Revert "objtool: Support addition to set CFA base" perf/core: Fix hardlockup failure caused by perf throttle sched/fair: Fix inaccurate tally of ttwu_move_affine powerpc/rtas: use memmove for potentially overlapping buffer copy macintosh: via-pmu-led: requires ATA to be set powerpc/sysdev/tsi108: fix resource printk format warnings powerpc/wii: fix resource printk format warnings powerpc/mpc512x: fix resource printk format warning powerpc/perf: Properly detect mpc7450 family macintosh/windfarm_smu_sat: Add missing of_node_put() selftests/powerpc/pmu: Fix sample field check in the mmcra_thresh_marked_sample_test fbdev: mmp: Fix deferred clk handling in mmphw_probe() virtio_ring: don't update event idx on get_buf spmi: Add a check for remove callback when removing a SPMI driver staging: rtl8192e: Fix W_DISABLE# does not work after stop/start spi: cadence-quadspi: use macro DEFINE_SIMPLE_DEV_PM_OPS serial: 8250: Add missing wakeup event reporting tty: serial: fsl_lpuart: adjust buffer length to the intended size firmware: stratix10-svc: Fix an NULL vs IS_ERR() bug in probe usb: mtu3: fix kernel panic at qmu transfer done irq handler usb: chipidea: fix missing goto in `ci_hdrc_probe` usb: gadget: tegra-xudc: Fix crash in vbus_draw sh: sq: Fix incorrect element size for allocating bitmap buffer uapi/linux/const.h: prefer ISO-friendly __typeof__ scripts/gdb: raise error with reduced debugging information i2c: xiic: xiic_xfer(): Fix runtime PM leak on error path i2c: cadence: cdns_i2c_master_xfer(): Fix runtime PM leak on error path spi: cadence-quadspi: fix suspend-resume implementations drm/panel: novatek-nt35950: Only unregister DSI1 if it exists PCI/PM: Extend D3hot delay for NVIDIA HDA controllers ASoC: fsl_mqs: move of_node_put() to the correct location drm/panel: novatek-nt35950: Improve error handling coresight: etm_pmu: Set the module field cacheinfo: Check sib_leaf in cache_leaves_are_shared() HID: amd_sfh: Handle "no sensors" enabled for SFH1.1 HID: amd_sfh: Increase sensor command timeout for SFH1.1 HID: amd_sfh: Correct the stop all command HID: amd_sfh: Add support for shutdown operation HID: amd_sfh: Fix illuminance value HID: amd_sfh: Correct the sensor enable and disable command HID: amd_sfh: Correct the structure fields scripts/gdb: bail early if there are no generic PD scripts/gdb: bail early if there are no clocks ia64: salinfo: placate defined-but-not-used warning ia64: mm/contig: fix section mismatch warning/error PCI/EDR: Clear Device Status after EDR error recovery of: Fix modalias string generation vmci_host: fix a race condition in vmci_host_poll() causing GPF spi: fsl-spi: Fix CPM/QE mode Litte Endian interconnect: qcom: rpm: drop bogus pm domain attach spi: qup: Don't skip cleanup in remove's error path linux/vt_buffer.h: allow either builtin or modular for macros ASoC: es8316: Handle optional IRQ assignment PCI: imx6: Install the fault handler only on compatible match ASoC: soc-compress: Inherit atomicity from DAI link for Compress FE usb: gadget: udc: renesas_usb3: Fix use after free bug in renesas_usb3_remove due to race condition spi: imx: Don't skip cleanup in remove's error path spi: atmel-quadspi: Free resources even if runtime resume failed in .remove() spi: atmel-quadspi: Don't leak clk enable count in pm resume serial: 8250_bcm7271: Fix arbitration handling iio: light: max44009: add missing OF device matching fpga: bridge: fix kernel-doc parameter description serial: stm32: Re-assert RTS/DE GPIO in RS485 mode only if more data are transmitted usb: dwc3: gadget: Change condition for processing suspend event usb: host: xhci-rcar: remove leftover quirk handling pstore: Revert pmsg_lock back to a normal mutex drivers: staging: rtl8723bs: Fix locking in rtw_scan_timeout_handler() drivers: staging: rtl8723bs: Fix locking in _rtw_join_timeout_handler() ASoC: cs35l41: Only disable internal boost ipmi: ASPEED_BT_IPMI_BMC: select REGMAP_MMIO instead of depending on it tcp/udp: Fix memleaks of sk and zerocopy skbs with TX timestamp. net: amd: Fix link leak when verifying config failed netlink: Use copy_to_user() for optval in netlink_getsockopt(). Revert "Bluetooth: btsdio: fix use after free bug in btsdio_remove due to unfinished work" ipv4: Fix potential uninit variable access bug in __ip_make_skb() net/sched: sch_fq: fix integer overflow of "credit" net: dpaa: Fix uninitialized variable in dpaa_stop() netfilter: nf_tables: don't write table validation state without mutex bpf: Don't EFAULT for getsockopt with optval=NULL bpf: Fix race between btf_put and btf_idr walk. net: stmmac:fix system hang when setting up tag_8021q VLAN for DSA ports net/mlx5e: Nullify table pointer when failing to create net/mlx5: Use recovery timeout on sync reset flow Revert "net/mlx5: Remove "recovery" arg from mlx5_load_one() function" net/mlx5: Suspend auxiliary devices only in case of PCI device suspend net/mlx5: Remove "recovery" arg from mlx5_load_one() function net/mlx5e: Fix error flow in representor failing to add vport rx rule net/mlx5: E-switch, Don't destroy indirect table in split rule net/mlx5: E-switch, Create per vport table based on devlink encap mode net/mlx5e: Don't clone flow post action attributes second time ixgbe: Enable setting RSS table to default values ixgbe: Allow flow hash to be set via ethtool wifi: iwlwifi: fw: fix memory leak in debugfs netfilter: conntrack: fix wrong ct->timeout value netfilter: conntrack: restore IPS_CONFIRMED out of nf_conntrack_hash_check_insert() wifi: iwlwifi: mvm: check firmware response size wifi: mt76: connac: fix txd multicast rate setting wifi: mt76: mt7921e: stop chip reset worker in unregister hook wifi: mt76: mt7921e: improve reliability of dma reset wifi: mt76: mt7921: fix missing unwind goto in `mt7921u_probe` mt76: mt7921: fix kernel panic by accessing unallocated eeprom.data wifi: mt76: fix 6GHz high channel not be scanned wifi: mt76: mt7921e: fix probe timeout after reboot wifi: mt76: add flexible polling wait-interval support wifi: mt76: handle failure of vzalloc in mt7615_coredump_work wifi: mt76: mt7915: expose device tree match table wifi: iwlwifi: make the loop for card preparation effective io_uring/rsrc: use nospec'ed indexes jdb2: Don't refuse invalidation of already invalidated buffers wifi: iwlwifi: fw: move memset before early return wifi: iwlwifi: mvm: initialize seq variable wifi: iwlwifi: yoyo: Fix possible division by zero wifi: iwlwifi: yoyo: skip dump correctly on hw error wifi: iwlwifi: mvm: don't drop unencrypted MCAST frames md/raid10: don't call bio_start_io_acct twice for bio which experienced read error md/raid10: fix memleak of md thread md/raid10: fix memleak for 'conf->bio_split' md/raid10: fix leak of 'r10bio->remaining' for recovery md/raid10: fix task hung in raid10d f2fs: fix to check return value of inc_valid_block_count() f2fs: fix to check return value of f2fs_do_truncate_blocks() bpf, sockmap: Revert buggy deadlock fix in the sockhash and sockmap wifi: iwlwifi: mvm: don't set CHECKSUM_COMPLETE for unsupported protocols wifi: iwlwifi: trans: don't trigger d3 interrupt twice wifi: iwlwifi: debug: fix crash in __iwl_err() blk-mq: don't plug for head insertions in blk_execute_rq_nowait selftests/bpf: Fix leaked bpf_link in get_stackid_cannot_attach selftests/bpf: Use read_perf_max_sample_freq() in perf_event_stackmap nvme-fcloop: fix "inconsistent {IN-HARDIRQ-W} -> {HARDIRQ-ON-W} usage" nvme: fix async event trace event nvmet: fix I/O Command Set specific Identify Controller nvmet: fix Identify Active Namespace ID list handling nvmet: fix Identify Controller handling nvmet: fix Identify Namespace handling nvmet: fix error handling in nvmet_execute_identify_cns_cs_ns() bpf, sockmap: fix deadlocks in the sockhash and sockmap wifi: ath11k: fix writing to unintended memory region net: ethernet: stmmac: dwmac-rk: fix optional phy regulator handling net: ethernet: stmmac: dwmac-rk: rework optional clock handling scsi: lpfc: Fix ioremap issues in lpfc_sli4_pci_mem_setup() bpf/btf: Fix is_int_ptr() wifi: iwlwifi: fix duplicate entry in iwl_dev_info_table f2fs: fix to avoid use-after-free for cached IPU bio xsk: Fix unaligned descriptor validation crypto: drbg - Only fail when jent is unavailable in FIPS mode bpftool: Fix bug for long instructions in program CFG dumps selftests/bpf: Wait for receive in cg_storage_multi test selftests: xsk: Deflakify STATS_RX_DROPPED test selftests: xsk: Disable IPv6 on VETH1 selftests: xsk: Use correct UMEM size in testapp_invalid_desc net: qrtr: correct types of trace event parameters f2fs: fix iostat lock protection wifi: rt2x00: Fix memory leak when handling surveys scsi: hisi_sas: Handle NCQ error when IPTT is valid scsi: libsas: Add sas_ata_device_link_abort() wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_reg() wifi: rtlwifi: fix incorrect error codes in rtl_debugfs_set_write_rfreg() crypto: sa2ul - Select CRYPTO_DES crypto: caam - Clear some memory in instantiate_rng f2fs: fix scheduling while atomic in decompression path f2fs: compress: fix to call f2fs_wait_on_page_writeback() in f2fs_write_raw_pages() f2fs: apply zone capacity to all zone type f2fs: fix uninitialized skipped_gc_rwsem f2fs: handle dqget error in f2fs_transfer_project_quota() net: sunhme: Fix uninitialized return code scsi: megaraid: Fix mega_cmd_done() CMDID_INT_CMDS scsi: target: iscsit: Fix TAS handling during conn cleanup scsi: target: Fix multiple LUN_RESET handling scsi: target: iscsit: Stop/wait on cmds during conn close scsi: target: iscsit: isert: Alloc per conn cmd counter scsi: target: Pass in cmd counter to use during cmd setup scsi: target: Move cmd counter allocation scsi: target: Move sess cmd counter to new struct scsi: target: core: Change the way target_xcopy_do_work() sets restiction on max I/O bpf: Fix __reg_bound_offset 64->32 var_off subreg propagation netfilter: keep conntrack reference until IPsecv6 policy checks are done net: dsa: qca8k: remove assignment of an_enabled in pcs_get_state() libbpf: Fix ld_imm64 copy logic for ksym in light skeleton. net/packet: convert po->auxdata to an atomic flag net/packet: convert po->origdev to an atomic flag net/packet: annotate accesses to po->xmit vlan: partially enable SIOCSHWTSTAMP in container net: pcs: xpcs: remove double-read of link state when using AN bpf: Remove misleading spec_v1 check on var-offset stack read selftests/bpf: Fix a fd leak in an error path in network_helpers.c wifi: ath11k: fix deinitialization of firmware resources scm: fix MSG_CTRUNC setting condition for SO_PASSSEC crypto: qat - fix concurrency issue when device state changes bpf: fix precision propagation verbose logging bpf: take into account liveness when propagating precision wifi: rtw88: mac: Return the original error from rtw_mac_power_switch() wifi: rtw88: mac: Return the original error from rtw_pwr_seq_parser() tools: bpftool: Remove invalid \' json escape wifi: ath6kl: reduce WARN to dev_dbg() in callback wifi: brcmfmac: support CQM RSSI notification with older firmware wifi: ath11k: fix SAC bug on peer addition with sta band migration wifi: ath5k: fix an off by one check in ath5k_eeprom_read_freq_list() wifi: ath5k: Use platform_get_irq() to get the interrupt wifi: ath11k: Use platform_get_irq() to get the interrupt wifi: ath9k: hif_usb: fix memory leak of remain_skbs wifi: ath6kl: minor fix for allocation size platform/chrome: cros_typec_switch: Add missing fwnode_handle_put() hwmon: (pmbus/fsp-3y) Fix functionality bitmask in FSP-3Y YM-2151E rpmsg: glink: Propagate TX failures in intentless mode as well cpufreq: use correct unit when verify cur freq ACPI: bus: Ensure that notify handlers are not running after removal tick/common: Align tick period with the HZ tick. drm/i915: Make intel_get_crtc_new_encoder() less oopsy debugobject: Prevent init race with static objects media: mediatek: vcodec: add remove function for decoder platform driver media: mediatek: vcodec: fix decoder disable pm crash perf/arm-cmn: Fix port detection for CMN-700 arm64: kgdb: Set PSTATE.SS to 1 to re-enable single-step x86/ioapic: Don't return 0 from arch_dynirq_lower_bound() regulator: stm32-pwr: fix of_iomap leak media: venus: dec: Fix capture formats enumeration order media: venus: dec: Fix handling of the start cmd media: rc: gpio-ir-recv: Fix support for wake-up drm/amd/display: Fix potential null dereference media: hi846: Fix memleak in hi846_init_controls() media: v4l: async: Return async sub-devices to subnotifier list media: rcar_fdp1: Fix refcount leak in probe and remove function media: platform: mtk-mdp3: fix potential frame size overflow in mdp_try_fmt_mplane() media: saa7134: fix use after free bug in saa7134_finidev due to race condition media: dm1105: Fix use after free bug in dm1105_remove due to race condition platform/x86/amd: pmc: Move out of BIOS SMN pair for STB init platform/x86/amd: pmc: Utilize SMN index 0 for driver probe platform/x86/amd: pmc: Move idlemask check into `amd_pmc_idlemask_read` platform/x86/amd: pmc: Don't dump data after resume from s0i3 on picasso platform/x86/amd: pmc: Hide SMU version and program attributes for Picasso platform/x86/amd: pmc: Don't try to read SMU version on Picasso platform/x86/amd/pmf: Move out of BIOS SMN pair for driver probe media: rkvdec: fix use after free bug in rkvdec_remove media: cedrus: fix use after free bug in cedrus_remove due to race condition media: mediatek: vcodec: change lat thread decode error condition media: mediatek: vcodec: making sure queue_work successfully media: mediatek: vcodec: remove unused lat_buf media: mediatek: vcodec: add core decode done event media: mediatek: vcodec: move lat_buf to the top of core list media: mediatek: vcodec: using each instance lat_buf count replace core ready list media: mediatek: vcodec: add params to record lat and core lat_buf count media: mediatek: vcodec: Force capture queue format to MM21 media: mediatek: vcodec: Make MM21 the default capture format media: mediatek: vcodec: Use 4K frame size when supported by stateful decoder arm64: dts: sc7280: Rename qspi data12 as data23 arm64: dts: sc7180: Rename qspi data12 as data23 arm64: dts: qcom: msm8994-angler: removed clash with smem_region arm64: dts: qcom: msm8994-angler: Fix cont_splash_mem mapping x86/apic: Fix atomic update of offset in reserve_eilvt_offset() regulator: core: Avoid lockdep reports when resolving supplies regulator: core: Consistently set mutex_owner when using ww_mutex_lock_slow() drm/ttm/pool: Fix ttm_pool_alloc error path drm/ttm: optimize pool allocations a bit v2 arm64: dts: qcom: apq8096-db820c: drop unit address from PMI8994 regulator arm64: dts: qcom: msm8994-msft-lumia-octagon: drop unit address from PMI8994 regulator arm64: dts: qcom: msm8994-kitakami: drop unit address from PMI8994 regulator arm64: dts: qcom: sc7180-trogdor-pazquel: correct trackpad supply arm64: dts: qcom: sc7180-trogdor-lazor: correct trackpad supply arm64: dts: qcom: sc7280-herobrine-villager: correct trackpad supply gpu: host1x: Fix memory leak of device names gpu: host1x: Fix potential double free if IOMMU is disabled soc: renesas: renesas-soc: Release 'chipid' from ioremap() soc: bcm: brcmstb: biuctrl: fix of_iomap leak mailbox: mpfs: switch to txdone_poll drm/mediatek: dp: Change the aux retries times when receiving AUX_DEFER drm/lima/lima_drv: Add missing unwind goto in lima_pdev_probe() ACPI: VIOT: Initialize the correct IOMMU fwspec arm64: dts: mediatek: mt8192-asurada: Fix voltage constraint for Vgpu cpufreq: qcom-cpufreq-hw: Revert adding cpufreq qos cpufreq: mediatek: Raise proc and sram max voltage for MT7622/7623 cpufreq: mediatek: raise proc/sram max voltage for MT8516 cpufreq: mediatek: fix KP caused by handler usage after regulator_put/clk_put cpufreq: mediatek: fix passing zero to 'PTR_ERR' arm64: dts: apple: t8103: Disable unused PCIe ports ARM: dts: stm32: fix spi1 pin assignment on stm32mp15 perf/arm-cmn: Move overlapping wp_combine field firmware: arm_scmi: Fix xfers allocation on Rx channel ARM: dts: gta04: fix excess dma channel usage drm: rcar-du: Fix a NULL vs IS_ERR() bug arm64: dts: qcom: sm8450: fix pcie1 gpios properties name mmc: sdhci-of-esdhc: fix quirk to ignore command inhibit for data ACPI: processor: Fix evaluating _PDC method when running as Xen dom0 drm/amd/display/dc/dce60/Makefile: Fix previous attempt to silence known override-init warnings arm64: dts: qcom: sm8350-microsoft-surface: fix USB dual-role mode property virt/coco/sev-guest: Double-buffer messages drm: msm: adreno: Disable preemption on Adreno 510 drm/msm/adreno: drop bogus pm_runtime_set_active() arm64: dts: ti: k3-am62a7: Correct L2 cache size to 512KB arm64: dts: ti: k3-am625: Correct L2 cache size to 512KB media: max9286: Free control handler drm/bridge: adv7533: Fix adv7533_mode_valid for adv7533 and adv7535 firmware: qcom_scm: Clear download bit during reboot media: av7110: prevent underflow in write_ts_to_decoder() media: amphion: decoder implement display delay enable media: platform: mtk-mdp3: Add missing check and free for ida_alloc media: bdisp: Add missing check for create_workqueue x86/MCE/AMD: Use an u64 for bank_map ARM: dts: qcom: sdx55: Fix the unit address of PCIe EP node ARM: dts: qcom: ipq8064: Fix the PCI I/O port range ARM: dts: qcom: ipq4019: Fix the PCI I/O port range arm64: dts: qcom: sm8450: Fix the PCI I/O port range arm64: dts: qcom: sm8150: Fix the PCI I/O port range arm64: dts: qcom: sm8250: Fix the PCI I/O port range arm64: dts: qcom: msm8996: Fix the PCI I/O port range arm64: dts: qcom: ipq6018: Fix the PCI I/O port range arm64: dts: qcom: ipq8074: Fix the PCI I/O port range arm64: dts: qcom: sc7280: Fix the PCI I/O port range arm64: dts: qcom: msm8998: Fix the PCI I/O port range arm64: dts: qcom: sdm845: Fix the PCI I/O port range arm64: dts: qcom: sdm845: correct dynamic power coefficients arm64: dts: qcom: sc7280: fix EUD port properties arm64: dts: qcom: msm8998: Fix stm-stimulus-base reg name arm64: dts: broadcom: bcmbca: bcm4908: fix procmon nodename arm64: dts: broadcom: bcmbca: bcm4908: fix LED nodenames arm64: dts: broadcom: bcmbca: bcm4908: fix NAND interrupt name arm64: dts: ti: k3-j721e-main: Remove ti,strobe-sel property arm64: dts: ti: k3-am62a7-sk: Fix DDR size to full 4GB arm64: dts: ti: k3-am62-main: Fix GPIO numbers in DT regulator: core: Shorten off-on-delay-us for always-on/boot-on by time since booted ARM: dts: qcom-apq8064: Fix opp table child name EDAC/skx: Fix overflows on the DRAM row address mapping arrays drm/msm/disp/dpu: check for crtc enable rather than crtc active to release shared resources drm/mediatek: dp: Only trigger DRM HPD events if bridge is attached arm64: dts: renesas: r9a07g043: Update IRQ numbers for SSI channels arm64: dts: renesas: r9a07g043: Introduce SOC_PERIPHERAL_IRQ() macro to specify interrupt property arm64: dts: renesas: r9a07g054: Update IRQ numbers for SSI channels arm64: dts: renesas: r9a07g044: Update IRQ numbers for SSI channels arm64: dts: renesas: r8a774c0: Remove bogus voltages from OPP table arm64: dts: renesas: r8a77990: Remove bogus voltages from OPP table soc: ti: pm33xx: Fix refcount leak in am33xx_pm_probe tools/x86/kcpuid: Fix avx512bw and avx512lvl fields in Fn00000007 drm/amdgpu: register a vga_switcheroo client for MacBooks with apple-gmux drm/probe-helper: Cancel previous job before starting new one drm/vgem: add missing mutex_destroy drm/i915/dg2: Drop one PCI ID drm/rockchip: Drop unbalanced obj unref erofs: fix potential overflow calculating xattr_isize erofs: initialize packed inode after root inode is assigned erofs: stop parsing non-compact HEAD index if clusterofs is invalid tpm, tpm_tis: Claim locality when interrupts are reenabled on resume tpm, tpm: Implement usage counter for locality tpm, tpm_tis: Claim locality before writing interrupt registers tpm, tpm_tis: Disable interrupts if tpm_tis_probe_irq() failed tpm, tpm_tis: Claim locality before writing TPM_INT_ENABLE register tpm, tpm_tis: Do not skip reset of original interrupt vector selinux: ensure av_permissions.h is built when needed selinux: fix Makefile dependencies of flask.h selftests/resctrl: Check for return value after write_schemata() selftests/resctrl: Allow ->setup() to return errors selftests/resctrl: Move ->setup() call outside of test specific branches selftests/resctrl: Return NULL if malloc_and_init_memory() did not alloc mem rcu: Fix missing TICK_DEP_MASK_RCU_EXP dependency check kunit: fix bug in the order of lines in debugfs logs kunit: improve KTAP compliance of KUnit test output ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for clocks bus: mhi: host: Range check CHDBOFF and ERDBOFF bus: mhi: host: Use mhi_tryset_pm_state() for setting fw error state bus: mhi: host: Remove duplicate ee check for syserr cxl/hdm: Fail upon detecting 0-sized decoders xfs: don't consider future format versions valid ceph: fix potential use-after-free bug when trimming caps ubifs: Fix memory leak in do_rename ubifs: Free memory for tmpfile name ubi: Fix return value overwrite issue in try_write_vid_and_data() ubifs: Fix memleak when insert_old_idx() failed Revert "ubifs: dirty_cow_znode: Fix memleak in error handling path" RISC-V: Align SBI probe implementation with spec iommu/amd: Fix "Guest Virtual APIC Table Root Pointer" configuration in IRTE drm/amd/pm: re-enable the gfx imu when smu resume swsmu/amdgpu_smu: Fix the wrong if-condition tracing: Fix permissions for the buffer_percent file riscv: mm: remove redundant parameter of create_fdt_early_page_table i2c: omap: Fix standard mode false ACK readings ACPI: video: Remove acpi_backlight=video quirk for Lenovo ThinkPad W530 ksmbd: fix deadlock in ksmbd_find_crypto_ctx() ksmbd: not allow guest user on multichannel ksmbd: fix memleak in session setup ksmbd: fix NULL pointer dereference in smb2_get_info_filesystem() ksmbd: call rcu_barrier() in ksmbd_server_exit() ksmbd: fix racy issue under cocurrent smb2 tree disconnect KVM: RISC-V: Retry fault if vma_lookup() results become invalid drm/amd/display: fix a divided-by-zero error drm/amd/display: fix PSR-SU/DSC interoperability support drm/amd/display: limit timing for single dimm memory drm/amd/display: Remove stutter only configurations relayfs: fix out-of-bounds access in relay_file_read KVM: arm64: vgic: Don't acquire its_lock before config_lock KVM: arm64: Use config_lock to protect vgic state KVM: arm64: Use config_lock to protect data ordered against KVM_RUN KVM: arm64: Avoid lock inversion when setting the VM register width KVM: arm64: Avoid vcpu->mutex v. kvm->lock inversion in CPU_ON KVM: nVMX: Emulate NOPs in L2, and PAUSE if it's not intercepted reiserfs: Add security prefix to xattr name in reiserfs_security_write() rcu: Avoid stack overflow due to __rcu_irq_enter_check_tick() being kprobe-ed crypto: ccp - Don't initialize CCP for PSP 0x1649 crypto: arm64/aes-neonbs - fix crash with CFI enabled crypto: safexcel - Cleanup ring IRQ workqueues on load failure crypto: api - Demote BUG_ON() in crypto_unregister_alg() to a WARN_ON() ring-buffer: Sync IRQ works before buffer destruction ring-buffer: Ensure proper resetting of atomic variables in ring_buffer_reset_online_cpus pinctrl: qcom: lpass-lpi: set output value before enabling output soundwire: qcom: correct setting ignore bit on v1.5.1 pwm: meson: Fix g12a ao clk81 name pwm: meson: Fix axg ao mux parents wifi: mt76: add missing locking to protect against concurrent rx/status calls kheaders: Use array declaration instead of char iio: addac: stx104: Fix race condition for stx104_write_raw() iio: addac: stx104: Fix race condition when converting analog-to-digital ipmi: fix SSIF not responding under certain cond. ipmi:ssif: Add send_retries increment MIPS: fw: Allow firmware to pass a empty env fs: fix sysctls.c built tick/nohz: Fix cpu_is_hotpluggable() by checking with nohz subsystem serial: max310x: fix IO data corruption in batched operations serial: 8250: Fix serial8250_tx_empty() race with DMA Tx serial: fix TIOCSRS485 locking xhci: fix debugfs register accesses while suspended tty: Prevent writing chars during tcsetattr TCSADRAIN/FLUSH staging: iio: resolver: ads1210: fix config mode blk-crypto: make blk_crypto_evict_key() more robust blk-crypto: make blk_crypto_evict_key() return void blk-mq: release crypto keyslot before reporting I/O complete blk-crypto: Add a missing include directive blk-crypto: move internal only declarations to blk-crypto-internal.h blk-crypto: add a blk_crypto_config_supported_natively helper blk-crypto: don't use struct request_queue for public interfaces blk-stat: fix QUEUE_FLAG_STATS clear media: ov8856: Do not check for for module version posix-cpu-timers: Implement the missing timer_wait_running callback tpm: Add !tpm_amd_is_rng_defective() to the hwrng_unregister() call site hwmon: (adt7475) Use device_property APIs when configuring polarity hwmon: (k10temp) Check range scale when CUR_TEMP register is read-write USB: dwc3: fix runtime pm imbalance on unbind USB: dwc3: fix runtime pm imbalance on probe errors usb: dwc3: gadget: Stall and restart EP0 if host is unresponsive usb: gadget: udc: core: Prevent redundant calls to pullup usb: gadget: udc: core: Invoke usb_gadget_connect only when started IMA: allow/fix UML builds phy: qcom-qmp-pcie: sc8180x PCIe PHY has 2 lanes PCI: qcom: Fix the incorrect register usage in v2.7.0 config PCI: pciehp: Fix AB-BA deadlock between reset_lock and device_lock PCI: kirin: Select REGMAP_MMIO powerpc/boot: Fix boot wrapper code generation with CONFIG_POWER10_CPU arm64: Stash shadow stack pointer in the task struct on interrupt arm64: Always load shadow stack pointer directly from the task struct ASoC: amd: ps: update the acp clock source. ASoC: amd: fix ACP version typo mistake wifi: mt76: mt7921e: Set memory space enable in PCI_COMMAND if unset wireguard: timers: cast enum limits members to int in prints x86/cpu: Add model number for Intel Arrow Lake processor asm-generic/io.h: suppress endianness warnings for readq() and writeq() tracing: Error if a trace event has an array for a __field() wifi: ath11k: reduce the MHI timeout to 20s platform/x86: thinkpad_acpi: Add missing T14s Gen1 type to s2idle quirk list net: sfp: add quirk enabling 2500Base-x for HG MXPD-483II scsi: mpi3mr: Handle soft reset in progress fault code (0xF002) selftests mount: Fix mount_setattr_test builds failed net: wwan: t7xx: do not compile with -Werror ASoC: da7213.c: add missing pm_runtime_disable() ASoC: Intel: bytcr_rt5640: Add quirk for the Acer Iconia One 7 B1-750 iio: adc: palmas_gpadc: fix NULL dereference on rmmod ASoC: amd: yc: Add DMI entries to support Victus by HP Laptop 16-e1xxx (8A22) x86/hyperv: Block root partition functionality in a Confidential VM ASoC: soc-pcm: fix hw->formats cleared by soc_pcm_hw_init() for dpcm ASoC: Intel: soc-acpi: add table for Intel 'Rooks County' NUC M15 ASOC: Intel: sof_sdw: add quirk for Intel 'Rooks County' NUC M15 Linux 6.1.27 riscv: No need to relocate the dtb as it lies in the fixmap region riscv: Do not set initial_boot_params to the linear address of the dtb riscv: Move early dtb mapping into the fixmap region driver core: Don't require dynamic_debug for initcall_debug probe timing USB: serial: option: add UNISOC vendor and TOZED LT70C product btrfs: fix uninitialized variable warnings bluetooth: Perform careful capability checks in hci_sock_ioctl() gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xNU drm/fb-helper: set x/yres_virtual in drm_fb_helper_check_var wifi: brcmfmac: slab-out-of-bounds read in brcmf_get_assoc_ies() mptcp: fix accept vs worker race mptcp: stops worker on unaccepted sockets at listener close mm/mempolicy: fix use-after-free of VMA iterator KVM: arm64: Retry fault if vma_lookup() results become invalid phy: phy-brcm-usb: Utilize platform_get_irq_byname_optional() um: Only disable SSE on clang to work around old GCC bugs Linux 6.1.26 ASN.1: Fix check for strdup() success ASoC: fsl_sai: Fix pins setting for i.MX8QM platform ASoC: fsl_asrc_dma: fix potential null-ptr-deref ASoC: SOF: pm: Tear down pipelines only if DSP was active mm/page_alloc: fix potential deadlock on zonelist_update_seq seqlock fpga: bridge: properly initialize bridge device before populating children iio: adc: at91-sama5d2_adc: fix an error code in at91_adc_allocate_trigger() Input: pegasus-notetaker - check pipe type when probing gcc: disable '-Warray-bounds' for gcc-13 too sctp: Call inet6_destroy_sock() via sk->sk_destruct(). dccp: Call inet6_destroy_sock() via sk->sk_destruct(). inet6: Remove inet6_destroy_sock() in sk->sk_prot->destroy(). purgatory: fix disabling debug info fuse: always revalidate rename target dentry MIPS: Define RUNTIME_DISCARD_EXIT in LD script KVM: arm64: Fix buffer overflow in kvm_arm_set_fw_reg() KVM: arm64: Make vcpu flag updates non-preemptible sched/fair: Fixes for capacity inversion detection sched/fair: Consider capacity inversion in util_fits_cpu() sched/fair: Detect capacity inversion mm/mmap: regression fix for unmapped_area{_topdown} mm: page_alloc: skip regions with hugetlbfs pages when allocating 1G pages mm: kmsan: handle alloc failures in kmsan_vmap_pages_range_noflush() mm: kmsan: handle alloc failures in kmsan_ioremap_page_range() mm/huge_memory.c: warn with pr_warn_ratelimited instead of VM_WARN_ON_ONCE_FOLIO mm/khugepaged: check again on anon uffd-wp during isolation mm/userfaultfd: fix uffd-wp handling for THP migration entries drm/rockchip: vop2: Use regcache_sync() to fix suspend/resume drm/rockchip: vop2: fix suspend/resume drm/amd/display: set dcn315 lb bpp to 48 drm/amdgpu: Fix desktop freezed after gpu-reset drm/i915: Fix fast wake AUX sync len mmc: sdhci_am654: Set HIGH_SPEED_ENA for SDR12 and SDR25 writeback, cgroup: fix null-ptr-deref write in bdi_split_work_to_wbs kernel/sys.c: fix and improve control flow in __sys_setres[ug]id() memstick: fix memory leak if card device is never registered tools/mm/page_owner_sort.c: fix TGID output when cull=tg is used nilfs2: initialize unused bytes in segment summary blocks maple_tree: fix a potential memory leak, OOB access, or other unpredictable bug maple_tree: fix mas_empty_area() search maple_tree: make maple state reusable after mas_empty_area_rev() LoongArch: Mark 3 symbol exports as non-GPL LoongArch: Fix probing of the CRC32 feature rust: kernel: Mark rust_fmt_argument as extern "C" btrfs: get the next extent map during fiemap/lseek more efficiently ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook iio: light: tsl2772: fix reading proximity-diodes from device tree iio: dac: ad5755: Add missing fwnode_handle_put() drm/amdgpu/vcn: Disable indirect SRAM on Vangogh broken BIOSes Revert "userfaultfd: don't fail on unrecognized features" mtd: spi-nor: fix memory leak when using debugfs_lookup() platform/x86: asus-nb-wmi: Add quirk_asus_tablet_mode to other ROG Flow X13 models platform/x86: gigabyte-wmi: add support for X570S AORUS ELITE xen/netback: use same error messages for same errors nvme-tcp: fix a possible UAF when failing to allocate an io queue drm: test: Fix 32-bit issue in drm_buddy_test drm: buddy_allocator: Fix buddy allocator init on 32-bit systems s390/ptrace: fix PTRACE_GET_LAST_BREAK error handling platform/x86: gigabyte-wmi: add support for B650 AORUS ELITE AX net: dsa: b53: mmap: add phy ops scsi: core: Improve scsi_vpd_inquiry() checks scsi: megaraid_sas: Fix fw_crash_buffer_show() selftests: sigaltstack: fix -Wuninitialized platform/x86 (gigabyte-wmi): Add support for A320M-S2H V2 platform/x86/intel: vsec: Fix a memory leak in intel_vsec_add_aux f2fs: Fix f2fs_truncate_partial_nodes ftrace event net: bridge: switchdev: don't notify FDB entries with "master dynamic" e1000e: Disable TSO on i219-LM card to increase speed bpf: Fix incorrect verifier pruning due to missing register precision taints spi: spi-rockchip: Fix missing unwind goto in rockchip_sfc_probe() mlxsw: pci: Fix possible crash during initialization net: rpl: fix rpl header size calculation bonding: Fix memory leak when changing bond type to Ethernet mlxfw: fix null-ptr-deref in mlxfw_mfa2_tlv_next() bnxt_en: Do not initialize PTP on older P3/P4 chips netfilter: nf_tables: tighten netlink attribute requirements for catch-all elements netfilter: nf_tables: validate catch-all set elements i40e: fix i40e_setup_misc_vector() error handling i40e: fix accessing vsi->active_filters without holding lock netfilter: nf_tables: fix ifdef to also consider nf_tables=m sfc: Fix use-after-free due to selftest_work virtio_net: bugfix overflow inside xdp_linearize_page() net: sched: sch_qfq: prevent slab-out-of-bounds in qfq_activate_agg regulator: fan53555: Fix wrong TCS_SLEW_MASK regulator: fan53555: Explicitly include bits header rust: str: fix requierments->requirements typo netfilter: nf_tables: Modify nla_memdup's flag to GFP_KERNEL_ACCOUNT netfilter: br_netfilter: fix recent physdev match breakage arm64: dts: imx8mp-verdin: correct off-on-delay arm64: dts: imx8mm-verdin: correct off-on-delay arm64: dts: imx8mm-evk: correct pmic clock source arm64: dts: qcom: sc8280xp-pmics: fix pon compatible and registers arm64: dts: meson-g12-common: specify full DMC range arm64: dts: qcom: ipq8074-hk10: enable QMP device, not the PHY node arm64: dts: qcom: hk10: use "okay" instead of "ok" arm64: dts: qcom: ipq8074-hk01: enable QMP device, not the PHY node arm64: dts: rockchip: Lower sd speed on rk3566-soquartz ARM: dts: rockchip: fix a typo error for rk3288 spdif node Linux 6.1.25 cgroup/cpuset: Add cpuset_can_fork() and cpuset_cancel_fork() methods cgroup/cpuset: Make cpuset_fork() handle CLONE_INTO_CGROUP properly cgroup/cpuset: Skip spread flags update on v2 nvme-pci: add NVME_QUIRK_BOGUS_NID for T-FORCE Z330 SSD nvme-pci: mark Lexar NM760 as IGNORE_DEV_SUBNQN cifs: fix negotiate context parsing i2c: ocores: generate stop condition after timeout in polling mode x86/rtc: Remove __init for runtime functions sched/fair: Fix imbalance overflow powerpc/papr_scm: Update the NUMA distance table for the target node i2c: mchp-pci1xxxx: Update Timing registers ubi: Fix deadlock caused by recursively holding work_sem ubi: Fix failure attaching when vid_hdr offset equals to (sub)page size mptcp: stricter state check in mptcp_worker mptcp: use mptcp_schedule_work instead of open-coding it drm/amd/pm: correct SMU13.0.7 max shader clock reporting drm/amd/pm: correct SMU13.0.7 pstate profiling clock settings cgroup/cpuset: Wake up cpuset_attach_wq tasks in cpuset_cancel_attach() cgroup/cpuset: Fix partition root's cpuset.cpus update bug cgroup: fix display of forceidle time at root x86/PCI: Add quirk for AMD XHCI controller that loses MSI-X state in D3hot scsi: ses: Handle enclosure with just a primary component gracefully net: phy: nxp-c45-tja11xx: fix unsigned long multiplication overflow net: phy: nxp-c45-tja11xx: add remove callback net: sfp: initialize sfp->i2c_block_size at sfp allocation HID: intel-ish-hid: Fix kernel panic during warm reset riscv: add icache flush for nommu sigreturn trampoline ksmbd: avoid out of bounds access in decode_preauth_ctxt() maple_tree: fix write memory barrier of nodes once dead for RCU mode tracing: Have tracing_snapshot_instance_cond() write errors to the appropriate instance tracing: Add trace_array_puts() to write into instance KVM: SVM: Flush Hyper-V TLB when required x86/hyperv: KVM: Rename "hv_enlightenments" to "hv_vmcb_enlightenments" KVM: SVM: Add a proper field for Hyper-V VMCB enlightenments KVM: selftests: Move "struct hv_enlightenments" to x86_64/svm.h x86/hyperv: Move VMCB enlightenment definitions to hyperv-tlfs.h ACPI: resource: Add Medion S17413 to IRQ override quirk drm/amdgpu/gfx: set cg flags to enter/exit safe mode drm/amdgpu: Force signal hw_fences that are embedded in non-sched jobs drm/amdgpu: add mes resume when do gfx post soft reset wifi: iwlwifi: mvm: protect TXQ list manipulation wifi: iwlwifi: mvm: fix mvmtxq->stopped handling nvme: send Identify with CNS 06h only to I/O controllers asymmetric_keys: log on fatal failures in PE/pkcs7 verify_pefile: relax wrapper length check hwmon: (xgene) Fix ioremap and memremap leak hwmon: (peci/cputemp) Fix miscalculated DTS for SKX drm: panel-orientation-quirks: Add quirk for Lenovo Yoga Book X90F ACPI: video: Add backlight=native DMI quirk for Acer Aspire 3830TG block: ublk_drv: mark device as LIVE before adding disk efi: sysfb_efi: Add quirk for Lenovo Yoga Book X91F/L i2c: hisi: Avoid redundant interrupts i2c: imx-lpi2c: clean rx/tx buffers upon new message wifi: mwifiex: mark OF related data as maybe unused selftests/bpf: Fix progs/find_vma_fail1.c build error. power: supply: axp288_fuel_gauge: Added check for negative values power: supply: cros_usbpd: reclassify "default case!" as debug power: supply: rk817: Fix unsigned comparison with less than zero ARM: dts: qcom: apq8026-lg-lenok: add missing reserved memory ARM: 9290/1: uaccess: Fix KASAN false-positives libbpf: Fix single-line struct definition output in btf_dump skbuff: Fix a race between coalescing and releasing SKBs net: macb: fix a memory corruption in extended buffer descriptor mode udp6: fix potential access to stale information selftests: openvswitch: adjust datapath NL message declaration RDMA/core: Fix GID entry ref leak when create_ah fails sctp: fix a potential overflow in sctp_ifwdtsn_skip net: qrtr: Fix an uninit variable access bug in qrtr_tx_resume() cgroup,freezer: hold cpu_hotplug_lock before freezer_mutex net: wwan: iosm: Fix error handling path in ipc_pcie_probe() qlcnic: check pci_reset_function result drm/armada: Fix a potential double free in an error handling path Bluetooth: Set ISO Data Path on broadcast sink Bluetooth: SCO: Fix possible circular locking dependency sco_sock_getsockopt Bluetooth: Fix printing errors if LE Connection times out Bluetooth: hci_conn: Fix not cleaning up on LE Connection failure net: openvswitch: fix race on port output iavf: remove active_cvlans and active_svlans bitmaps iavf: refactor VLAN filter states bonding: fix ns validation on backup slaves tcp: restrict net.ipv4.tcp_app_win niu: Fix missing unwind goto in niu_alloc_channels() KVM: arm64: Advertise ID_AA64PFR0_EL1.CSV2/3 to protected VMs KVM: arm64: Initialise hypervisor copies of host symbols unconditionally bpf, arm64: Fixed a BTI error on returning to patched function 9p/xen : Fix use after free bug in xen_9pfs_front_remove due to race condition dmaengine: apple-admac: Fix 'current_tx' not getting freed dmaengine: apple-admac: Set src_addr_widths capability dmaengine: apple-admac: Handle 'global' interrupt flags LoongArch, bpf: Fix jit to skip speculation barrier opcode bpf: tcp: Use sock_gen_put instead of sock_put in bpf_iter_tcp RDMA/cma: Allow UD qp_type to join multicast only clk: rs9: Fix suspend/resume RDMA/erdma: Defer probing if netdevice can not be found RDMA/erdma: Inline mtt entries into WQE if supported RDMA/erdma: Update default EQ depth to 4096 and max_send_wr to 8192 IB/mlx5: Add support for 400G_8X lane speed RDMA/irdma: Add ipv4 check to irdma_find_listener() RDMA/irdma: Increase iWARP CM default rexmit count RDMA/irdma: Fix memory leak of PBLE objects RDMA/irdma: Do not generate SW completions for NOPs clk: sprd: set max_register according to mapping range drm/i915/dsi: fix DSS CTL register offsets for TGL+ fbcon: set_con2fb_map needs to set con2fb_map! fbcon: Fix error paths in set_con2fb_map KVM: arm64: PMU: Restore the guest's EL0 event counting after migration mtd: rawnand: stm32_fmc2: use timings.mode instead of checking tRC_min mtd: rawnand: stm32_fmc2: remove unsupported EDO mode mtd: rawnand: meson: fix bitmask for length in command word mtdblock: tolerate corrected bit-flips fbmem: Reject FB_ACTIVATE_KD_TEXT from userspace btrfs: fix fast csum implementation detection btrfs: restore the thread_pool= behavior in remount for the end I/O workqueues Bluetooth: hci_conn: Fix possible UAF Bluetooth: Free potentially unfreed SCO connection bluetooth: btbcm: Fix logic error in forming the board name. Bluetooth: Fix race condition in hidp_session_thread Bluetooth: L2CAP: Fix use-after-free in l2cap_disconnect_{req,rsp} ALSA: hda/hdmi: disable KAE for Intel DG2 ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards ALSA: emu10k1: don't create old pass-through playback device on Audigy ALSA: firewire-tascam: add missing unwind goto in snd_tscm_stream_start_duplex() ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2 ALSA: hda: patch_realtek: add quirk for Asus N7601ZM ALSA: i2c/cs8427: fix iec958 mixer control deactivation ALSA: hda/sigmatel: add pin overrides for Intel DP45SG motherboard ALSA: emu10k1: fix capture interrupt handler unlinking drm/amd/display: Pass the right info to drm_dp_remove_payload Revert "pinctrl: amd: Disable and mask interrupts on resume" Linux 6.1.24 bpftool: Print newline before '}' for struct with padding only fields mm: enable maple tree RCU mode by default. maple_tree: add RCU lock checking to rcu callback functions maple_tree: add smp_rmb() to dead node detection maple_tree: remove extra smp_wmb() from mas_dead_leaves() maple_tree: fix freeing of nodes in rcu mode maple_tree: detect dead nodes in mas_start() maple_tree: refine ma_state init from mas_start() maple_tree: be more cautious about dead nodes maple_tree: fix mas_prev() and mas_find() state handling maple_tree: fix handle of invalidated state in mas_wr_store_setup() maple_tree: reduce user error potential maple_tree: fix potential rcu issue maple_tree: remove GFP_ZERO from kmem_cache_alloc() and kmem_cache_alloc_bulk() mm: take a page reference when removing device exclusive entries drm/i915: Split icl_color_commit_noarm() from skl_color_commit_noarm() drm/i915: Use _MMIO_PIPE() for SKL_BOTTOM_COLOR drm/bridge: lt9611: Fix PLL being unable to lock drm/i915/dp_mst: Fix payload removal during output disabling drm/display/dp_mst: Handle old/new payload states in drm_dp_remove_payload() drm/amdgpu: skip psp suspend for IMU enabled ASICs mode2 reset drm/amdgpu: for S0ix, skip SDMA 5.x+ suspend/resume drm/amd/display: Clear MST topology if it fails to resume blk-throttle: Fix that bps of child could exceed bps limited in parent maple_tree: fix a potential concurrency bug in RCU mode maple_tree: fix get wrong data_end in mtree_lookup_walk() mm/hugetlb: fix uffd wr-protection for CoW optimization path mm/swap: fix swap_info_struct race between swapoff and get_swap_pages() ring-buffer: Fix race while reader and writer are on the same page drm/i915: fix race condition UAF in i915_perf_add_config_ioctl drm/i915: Fix context runtime accounting drm/nouveau/disp: Support more modes by checking with lower bpc drm/panfrost: Fix the panfrost_mmu_map_fault_addr() error path ublk: read any SQE values upfront wifi: mt76: ignore key disable commands mm: vmalloc: avoid warn_alloc noise caused by fatal signal zsmalloc: document freeable stats tracing/synthetic: Make lastcmd_mutex static ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots() tracing: Free error logs of tracing instances tracing/osnoise: Fix notify new tracing_max_latency tracing/timerlat: Notify new max thread latency tracing/synthetic: Fix races on freeing last_cmd net: stmmac: Add queue reset into stmmac_xdp_open() function ACPI: video: Add acpi_backlight=video quirk for Lenovo ThinkPad W530 ACPI: video: Add acpi_backlight=video quirk for Apple iMac14,1 and iMac14,2 ACPI: video: Make acpi_backlight=video work independent from GPU driver ACPI: video: Add auto_detect arg to __acpi_video_get_backlight_type() can: isotp: isotp_recvmsg(): use sock_recv_cmsgs() to get SOCK_RXQ_OVFL infos can: isotp: isotp_ops: fix poll() to not report false EPOLLOUT events can: isotp: fix race between isotp_sendsmg() and isotp_release() can: j1939: j1939_tp_tx_dat_new(): fix out-of-bounds memory access fs: drop peer group ids under namespace lock ftrace: Fix issue that 'direct->addr' not restored in modify_ftrace_direct() ftrace: Mark get_lock_parent_ip() __always_inline perf/core: Fix the same task check in perf_event_set_output block: don't set GD_NEED_PART_SCAN if scan partition failed block: ublk: make sure that block size is set correctly cifs: sanitize paths in cifs_update_super_prepath. nvme: fix discard support without oncs scsi: iscsi_tcp: Check that sock is valid before iscsi_set_param() scsi: qla2xxx: Fix memory leak in qla2x00_probe_one() io_uring: fix memory leak when removing provided buffers io_uring: fix return value when removing provided buffers iio: adc: ad7791: fix IRQ flags blk-mq: directly poll requests counter: 104-quad-8: Fix Synapse action reported for Index signals counter: 104-quad-8: Fix race condition between FLAG and CNTR reads coresight-etm4: Fix for() loop drvdata->nr_addr_cmp range bug coresight: etm4x: Do not access TRCIDR1 for identification mm: kfence: fix handling discontiguous page mm: kfence: fix PG_slab and memcg_data clearing KVM: nVMX: Do not report error code when synthesizing VM-Exit from Real Mode KVM: x86: Clear "has_error_code", not "error_code", for RM exception injection x86/ACPI/boot: Use FADT version to check support for online capable x86/acpi/boot: Correct acpi_is_processor_usable() check ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook ALSA: hda/realtek: Add quirk for Clevo X370SNW ksmbd: fix slab-out-of-bounds in init_smb2_rsp_hdr ksmbd: do not call kvmalloc() with __GFP_NORETRY | __GFP_NO_WARN serial: 8250: Prevent starting up DMA Rx on THRI interrupt dt-bindings: serial: renesas,scif: Fix 4th IRQ for 4-IRQ SCIFs nilfs2: fix sysfs interface lifetime nilfs2: fix potential UAF of struct nilfs_sc_info in nilfs_segctor_thread() tty: serial: fsl_lpuart: avoid checking for transfer complete when UARTCTRL_SBK is asserted in lpuart32_tx_empty tty: serial: sh-sci: Fix Rx on RZ/G2L SCI tty: serial: sh-sci: Fix transmit end interrupt handler iio: light: cm32181: Unregister second I2C client if present iio: buffer: make sure O_NONBLOCK is respected iio: buffer: correctly return bytes written in output buffers iio: dac: cio-dac: Fix max DAC write value check for 12-bit iio: adc: ti-ads7950: Set `can_sleep` flag for GPIO chip iio: adc: qcom-spmi-adc5: Fix the channel name iio: adis16480: select CONFIG_CRC32 drivers: iio: adc: ltc2497: fix LSB shift USB: serial: option: add Quectel RM500U-CN modem USB: serial: option: add Telit FE990 compositions usb: typec: altmodes/displayport: Fix configure initial pin assignment USB: serial: cp210x: add Silicon Labs IFS-USB-DATACABLE IDs usb: dwc3: pci: add support for the Intel Meteor Lake-S usb: cdnsp: Fixes error: uninitialized symbol 'len' xhci: also avoid the XHCI_ZERO_64B_REGS quirk with a passthrough iommu xhci: Free the command allocated for setting LPM if we return early usb: xhci: tegra: fix sleep in atomic call PCI/DOE: Fix memory leak with CONFIG_DEBUG_OBJECTS=y PCI/DOE: Silence WARN splat with CONFIG_DEBUG_OBJECTS=y cxl/pci: Handle excessive CDAT length cxl/pci: Handle truncated CDAT entries cxl/pci: Handle truncated CDAT header cxl/pci: Fix CDAT retrieval on big endian net: stmmac: check fwnode for phy device before scanning for phy arm64: compat: Work around uninitialized variable warning gve: Secure enough bytes in the first TX desc for all TCP pkts netlink: annotate lockless accesses to nlk->max_recvmsg_len ethtool: reset #lanes when lanes is omitted ping: Fix potentail NULL deref for /proc/net/icmp. raw: Fix NULL deref in raw_get_next(). raw: use net_hash_mix() in hash function ice: Reset FDIR counter in FDIR init stage ice: fix wrong fallback logic for FDIR NFSD: callback request does not use correct credential for AUTH_SYS sunrpc: only free unix grouplist after RCU settles net: stmmac: fix up RX flow hash indirection table when setting channels net: ethernet: ti: am65-cpsw: Fix mdio cleanup in probe gpio: davinci: Add irq chip flag to skip set wake gpio: davinci: Do not clear the bank intr enable bit in save_context platform/x86: think-lmi: Clean up display of current_value on Thinkstation platform/x86: think-lmi: Fix memory leaks when parsing ThinkStation WMI strings platform/x86: think-lmi: Fix memory leak when showing current settings ipv6: Fix an uninit variable access bug in __ip6_make_skb() net: qrtr: Do not do DEL_SERVER broadcast after DEL_CLIENT sctp: check send stream number after wait_for_sndbuf net: dsa: mv88e6xxx: Reset mv88e6393x force WD event bit net: don't let netpoll invoke NAPI if in xmit context ALSA: hda/hdmi: Preserve the previous PCM device upon re-enablement icmp: guard against too small mtu nfsd: call op_release, even when op_func returns an error NFSD: Avoid calling OPDESC() with ops->opnum == OP_ILLEGAL wifi: brcmfmac: Fix SDIO suspend/resume regression l2tp: generate correct module alias strings net: stmmac: remove redundant fixup to support fixed-link mode net: stmmac: check if MAC needs to attach to a PHY net: phylink: add phylink_expects_phy() method net: qrtr: Fix a refcount bug in qrtr_recvmsg() wifi: mac80211: fix invalid drv_sta_pre_rcu_remove calls for non-uploaded sta wifi: mac80211: fix the size calculation of ieee80211_ie_len_eht_cap() KVM: s390: pv: fix external interruption loop not always detected ASoC: codecs: lpass: fix the order or clks turn off during suspend pwm: meson: Explicitly set .polarity in .get_state() pwm: sprd: Explicitly set .polarity in .get_state() pwm: iqs620a: Explicitly set .polarity in .get_state() pwm: cros-ec: Explicitly set .polarity in .get_state() pwm: hibvt: Explicitly set .polarity in .get_state() pwm: Make .get_state() callback return an error code ASoC: SOF: ipc4: Ensure DSP is in D0I0 during sof_ipc4_set_get_data() Drivers: vmbus: Check for channel allocation before looking up relids gpio: GPIO_REGMAP: select REGMAP instead of depending on it KVM: arm64: PMU: Don't save PMCR_EL0.{C,P} for the vCPU KVM: arm64: PMU: Sanitise PMCR_EL0.LP on first vcpu run KVM: arm64: PMU: Distinguish between 64bit counter and 64bit overflow KVM: arm64: PMU: Align chained counter implementation with architecture pseudocode dm: fix improper splitting for abnormal bios dm: change "unsigned" to "unsigned int" dm integrity: Remove bi_sector that's only used by commented debug code dm cache: Add some documentation to dm-cache-background-tracker.h Linux 6.1.23 Revert "cpuidle, intel_idle: Fix CPUIDLE_FLAG_IRQ_ENABLE *again*" x86/PVH: avoid 32-bit build warning when obtaining VGA console info hsr: ratelimit only when errors are printed drm/amdkfd: Get prange->offset after svm_range_vram_node_new usb: ucsi: Fix ucsi->connector race libbpf: Fix btf_dump's packed struct determination selftests/bpf: Add few corner cases to test padding handling of btf_dump libbpf: Fix BTF-to-C converter's padding logic selftests/bpf: Test btf dump for struct with padding only fields net: dsa: mv88e6xxx: replace VTU violation prints with trace points net: dsa: mv88e6xxx: replace ATU violation prints with trace points net: dsa: mv88e6xxx: read FID when handling ATU violations KVM: arm64: Disable interrupts while walking userspace PTs KVM: arm64: PMU: Fix GET_ONE_REG for vPMC regs to return the current value drm/i915: Move CSC load back into .color_commit_arm() when PSR is enabled on skl/glk drm/i915: Disable DC states for all commits drm/i915/dpt: Treat the DPT BO as a framebuffer drm/i915/gem: Flush lmem contents after construction drm/amd/display: Take FEC Overhead into Timeslot Calculation drm/amd/display: Add DSC Support for Synaptics Cascaded MST Hub drm/amdgpu: allow more APUs to do mode2 reset when go to S4 drm/etnaviv: fix reference leak when mmaping imported buffer s390: reintroduce expoline dependence to scripts s390/uaccess: add missing earlyclobber annotations to __clear_user() dt-bindings: mtd: jedec,spi-nor: Document CPOL/CPHA support rcu: Fix rcu_torture_read ftrace event xtensa: fix KASAN report for show_stack ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z ALSA: hda/realtek: Add quirks for some Clevo laptops ALSA: usb-audio: Fix regression on detection of Roland VS-100 ALSA: hda/conexant: Partial revert of a quirk for Lenovo NFSv4: Fix hangs when recovering open state after a server reboot powerpc/64s: Fix __pte_needs_flush() false positive warning powerpc/pseries/vas: Ignore VAS update for DLPAR if copy/paste is not enabled powerpc: Don't try to copy PPR for task with NULL pt_regs platform/x86: ideapad-laptop: Stop sending KEY_TOUCHPAD_TOGGLE pinctrl: at91-pio4: fix domain name assignment pinctrl: amd: Disable and mask interrupts on resume modpost: Fix processing of CRCs on 32-bit build machines net: phy: dp83869: fix default value for tx-/rx-internal-delay xen/netback: don't do grant copy across page boundary can: j1939: prevent deadlock by moving j1939_sk_errqueue() dm: fix __send_duplicate_bios() to always allow for splitting IO zonefs: Always invalidate last cached page on append write vmxnet3: use gro callback when UPT is enabled io_uring: fix poll/netmsg alloc caches io_uring/rsrc: fix rogue rsrc node grabbing io_uring/poll: clear single/double poll flags on poll arming block/io_uring: pass in issue_flags for uring_cmd task_work handling zonefs: Do not propagate iomap_dio_rw() ENOTBLK error to user space btrfs: scan device in non-exclusive mode btrfs: fix race between quota disable and quota assign ioctls btrfs: fix deadlock when aborting transaction during relocation with scrub Input: goodix - add Lenovo Yoga Book X90F to nine_bytes_report DMI table Input: i8042 - add quirk for Fujitsu Lifebook A574/H cifs: fix DFS traversal oops without CONFIG_CIFS_DFS_UPCALL cifs: prevent infinite recursion in CIFSGetDFSRefer() Input: focaltech - use explicitly signed char type Input: alps - fix compatibility with -funsigned-char Input: i8042 - add TUXEDO devices to i8042 quirk tables for partial fix iommu/vt-d: Allow zero SAGAW if second-stage not supported Input: xpad - fix incorrectly applied patch for MAP_PROFILE_BUTTON pinctrl: ocelot: Fix alt mode for ocelot net: ethernet: mtk_eth_soc: add missing ppe cache flush when deleting a flow net: ethernet: mtk_eth_soc: fix flow block refcounting logic net: dsa: mv88e6xxx: Enable IGMP snooping on user ports only bnxt_en: Add missing 200G link speed reporting bnxt_en: Fix typo in PCI id to device description string mapping bnxt_en: Fix reporting of test result in ethtool selftest i40e: fix registers dump after run ethtool adapter self test net: ipa: compute DMA pool size properly ALSA: ymfpci: Fix BUG_ON in probe function ALSA: ymfpci: Create card with device-managed snd_devm_card_new() ice: fix invalid check for empty list in ice_sched_assoc_vsi_to_agg() ice: add profile conflict check for AVF FDIR ice: Fix ice_cfg_rdma_fltr() to only update relevant fields smsc911x: avoid PHY being resumed when interface is not up net: mvpp2: parser fix PPPoE net: mvpp2: parser fix QinQ net: mvpp2: classifier flow fix fragmentation flags loop: LOOP_CONFIGURE: send uevents for partitions ACPI: bus: Rework system-level device notification handling s390/vfio-ap: fix memory leak in vfio_ap device driver can: bcm: bcm_tx_setup(): fix KMSAN uninit-value in vfs_write platform/x86/intel/pmc: Alder Lake PCH slp_s0_residency fix drm/i915/tc: Fix the ICL PHY ownership check in TC-cold state net: stmmac: don't reject VLANs when IFF_PROMISC is set net/net_failover: fix txq exceeding warning regulator: Handle deferred clk r8169: fix RTL8168H and RTL8107E rx crc error net: dsa: microchip: ksz8: fix MDB configuration with non-zero VID net: dsa: microchip: ksz8863_smi: fix bulk access net: dsa: microchip: ksz8: ksz8_fdb_dump: avoid extracting ghost entry from empty dynamic MAC table. net: dsa: microchip: ksz8: fix offset for the timestamp filed net: dsa: microchip: ksz8: fix ksz8_fdb_dump() to extract all 1024 entries net: dsa: microchip: ksz8: fix ksz8_fdb_dump() ptp_qoriq: fix memory leak in probe() net: dsa: realtek: fix out-of-bounds access scsi: mpt3sas: Don't print sense pool info twice scsi: megaraid_sas: Fix crash after a double completion sfc: ef10: don't overwrite offload features at NIC reset SUNRPC: fix shutdown of NFS TCP client socket mtd: rawnand: meson: invalidate cache on polling ECC bit platform/surface: aggregator: Add missing fwnode_handle_put() platform/x86: think-lmi: Add possible_values for ThinkStation platform/x86: think-lmi: only display possible_values if available platform/x86: think-lmi: use correct possible_values delimiters platform/x86: think-lmi: add missing type attribute PCI: dwc: Fix PORT_LINK_CONTROL update when CDM check enabled ALSA: usb-audio: Fix recursive locking at XRUN during syncing mips: bmips: BCM6358: disable RAC flush for TP1 riscv/kvm: Fix VM hang in case of timer delta being zero. ca8210: Fix unsigned mac_len comparison with zero in ca8210_skb_tx() mtd: nand: mxic-ecc: Fix mxic_ecc_data_xfer_wait_for_completion() when irq is used mtd: rawnand: meson: initialize struct with zeroes btrfs: use temporary variable for space_info in btrfs_update_block_group btrfs: fix uninitialized variable warning in btrfs_update_block_group tracing: Fix wrong return in kprobe_event_gen_test.c tools/power turbostat: fix decoding of HWP_STATUS tools/power turbostat: Fix /dev/cpu_dma_latency warnings fbdev: au1200fb: Fix potential divide by zero fbdev: lxfb: Fix potential divide by zero fbdev: intelfb: Fix potential divide by zero fbdev: nvidia: Fix potential divide by zero net/mlx5e: Lower maximum allowed MTU in XSK to match XDP prerequisites drm/amdkfd: Fixed kfd_process cleanup on module exit. nvme-pci: add NVME_QUIRK_BOGUS_NID for Lexar NM620 sched_getaffinity: don't assume 'cpumask_size()' is fully initialized ACPI: tools: pfrut: Check if the input of level and type is in the right numeric range fbdev: tgafb: Fix potential divide by zero ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set() ALSA: asihpi: check pao in control_message() net: hsr: Don't log netdev_err message on unknown prp dst node drm/amdkfd: fix potential kgd_mem UAFs drm/amdkfd: fix a potential double free in pqm_create_queue drm/amdkfd: Fix BO offset for multi-VMA page migration x86/PVH: obtain VGA console info in Dom0 md: avoid signed overflow in slot_store() ASoC: SOF: IPC4: update gain ipc msg definition to align with fw ASoC: SOF: Intel: pci-tng: revert invalid bar size setting ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit ASoC: SOF: ipc3: Check for upper size limit for the received message ACPI: video: Add backlight=native DMI quirk for Dell Vostro 15 3535 zstd: Fix definition of assert() ASoC: Intel: avs: nau8825: Adjust clock control ASoC: Intel: avs: ssm4567: Remove nau8825 bits ASoC: Intel: avs: da7219: Explicitly define codec format ASoC: Intel: avs: max98357a: Explicitly define codec format ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds xfrm: Zero padding when dumping algos and encap cifs: fix missing unload_nls() in smb2_reconnect() arm64: efi: Set NX compat flag in PE/COFF header net: mscc: ocelot: fix stats region batching tracing: Do not let histogram values have some modifiers tracing: Add .graph suffix option to histogram value tracing: Add .percent suffix option to histogram values tty: serial: fsl_lpuart: fix race on RX DMA shutdown tty: serial: fsl_lpuart: switch to new dmaengine_terminate_* API drm/msm/disp/dpu: fix sc7280_pp base offset drm/msm/dpu: correct sm8250 and sm8350 scaler drm/msm/dpu: Refactor sc7280_pp location ARM: dts: aspeed: p10bmc: Update battery node name riscv: ftrace: Fixup panic by disabling preemption net: ethernet: ti: am65-cpsw/cpts: Fix CPTS release action btrfs: zoned: count fresh BG region as zone unusable btrfs: rename BTRFS_FS_NO_OVERCOMMIT to BTRFS_FS_ACTIVE_ZONE_TRACKING kcsan: avoid passing -g for test kernel: kcsan: kcsan_test: build without structleak plugin fsverity: don't drop pagecache at end of FS_IOC_ENABLE_VERITY zonefs: Fix error message in zonefs_file_dio_append() zonefs: Separate zone information from inode information zonefs: Reduce struct zonefs_inode_info size zonefs: Simplify IO error handling zonefs: Reorganize code cifs: avoid race conditions with parallel reconnects cifs: prevent data race in cifs_reconnect_tcon() cifs: update ip_addr for ses only for primary chan setup thunderbolt: Limit USB3 bandwidth of certain Intel USB4 host routers Linux 6.1.22 drm/amdkfd: Fix the memory overrun drm/amdkfd: add GC 11.0.4 KFD support drm/amdkfd: Fix the warning of array-index-out-of-bounds drm/amdkfd: introduce dummy cache info for property asic sched/fair: Sanitize vruntime of entity being migrated sched/fair: sanitize vruntime of entity being placed dm crypt: avoid accessing uninitialized tasklet dm crypt: add cond_resched() to dmcrypt_write() dm stats: check for and propagate alloc_percpu failure i2c: xgene-slimpro: Fix out-of-bounds bug in xgene_slimpro_i2c_xfer() bus: imx-weim: fix branch condition evaluates to a garbage value mm/ksm: fix race with VMA iteration and mm_struct teardown soc: qcom: llcc: Fix slice configuration values for SC8280XP arm64: dts: qcom: sm8150: Fix the iommu mask used for PCIe controllers arm64: dts: qcom: sc7280: Mark PCIe controller as cache coherent firmware: arm_scmi: Fix device node validation for mailbox transport tee: amdtee: fix race condition in amdtee_open_session riscv: Handle zicsr/zifencei issues between clang and binutils riscv: mm: Fix incorrect ASID argument when flushing TLB drm/amdgpu: reposition the gpu reset checking for reuse drm/amdgpu: skip ASIC reset for APUs when go to S4 drm/i915: Preserve crtc_state->inherited during state clearing drm/i915/active: Fix missing debug object activation drm/amdgpu/nv: Apply ASPM quirk on Intel ADL + AMD Navi drm/meson: fix missing component unbind on bind errors drm/amd/display: fix wrong index used in dccg32_set_dpstreamclk drm/bridge: lt8912b: return EPROBE_DEFER if bridge is not found nilfs2: fix kernel-infoleak in nilfs_ioctl_wrap_copy() wifi: mac80211: fix qos on mesh interfaces ksmbd: return unsupported error on smb1 mount ksmbd: return STATUS_NOT_SUPPORTED on unsupported smb2.0 dialect ksmbd: don't terminate inactive sessions after a few seconds ksmbd: set FILE_NAMED_STREAMS attribute in FS_ATTRIBUTE_INFORMATION ksmbd: fix wrong signingkey creation when encryption is AES256 maple_tree: fix mas_skip_node() end slot detection test_maple_tree: add more testing for mas_empty_area() Revert "kasan: drop skip_kasan_poison variable in free_pages_prepare" io_uring/rsrc: fix null-ptr-deref in io_file_bitmap_get() io_uring/net: avoid sending -ECONNABORTED on repeated connection requests kfence: avoid passing -g for test mm: kfence: fix using kfence_metadata without initialization in show_object() usb: ucsi_acpi: Increase the command completion timeout usb: ucsi: Fix NULL pointer deref in ucsi_connector_change() usb: dwc3: gadget: Add 1ms delay after end transfer command without IOC usb: chipidea: core: fix possible concurrent when switch role usb: chipdea: core: fix return -EINVAL if request role is the same with current role usb: cdnsp: changes PCI Device ID to fix conflict with CNDS3 driver usb: cdnsp: Fixes issue with redundant Status Stage usb: cdns3: Fix issue with using incorrect PCI device function usb: typec: tcpm: fix warning when handle discover_identity message usb: typec: tcpm: fix create duplicate source-capabilities file dm thin: fix deadlock when swapping to thin device igb: revert rtnl_lock() that causes deadlock arm64: dts: imx8mm-nitrogen-r2: fix WM8960 clock name lockd: set file_lock start and end when decoding nlm4 testargs fsverity: Remove WQ_UNBOUND from fsverity read workqueue fscrypt: destroy keyring after security_sb_delete() mm/slab: Fix undefined init_cache_node_node() for NUMA and !SMP efi: sysfb_efi: Fix DMI quirks not working for simpledrm Bluetooth: Fix race condition in hci_cmd_sync_clear btrfs: zoned: fix btrfs_can_activate_zone() to support DUP profile usb: gadget: u_audio: don't let userspace block driver unbind usb: dwc2: fix a devres leak in hw_enable upon suspend resume usb: dwc2: drd: fix inconsistent mode if role-switch-default-mode="host" usb: misc: onboard-hub: add support for Microchip USB2517 USB 2.0 hub scsi: core: Add BLIST_SKIP_VPD_PAGES for SKhynix H28U74301AMR selftests/x86/amx: Add a ptrace test x86/fpu/xstate: Prevent false-positive warning in __copy_xstate_uabi_buf() cifs: fix dentry lookups in directory handle cache cifs: print session id while listing open files cifs: dump pending mids for all channels in DebugData cifs: empty interface list when server doesn't support query interfaces cifs: do not poll server interfaces too regularly cifs: append path to open_enter trace event cifs: lock chan_lock outside match_session act_mirred: use the backlog for nested calls to mirred ingress net/sched: act_mirred: better wording on protection against excessive stack growth drm/amd: Fix initialization mistake for NBIO 7.3.0 drm/amdgpu: Fix call trace warning and hang when removing amdgpu device sh: sanitize the flags on sigreturn drm/amd/display: Update clock table to include highest clock setting net: usb: qmi_wwan: add Telit 0x1080 composition net: usb: cdc_mbim: avoid altsetting toggling for Telit FE990 platform/x86: int3472: Add GPIOs to Surface Go 3 Board data scsi: storvsc: Handle BlockSize change in Hyper-V VHD/VHDX file scsi: mpi3mr: Bad drive in topology results kernel crash scsi: mpi3mr: NVMe command size greater than 8K fails scsi: mpi3mr: Wait for diagnostic save during controller init scsi: mpi3mr: Driver unload crashes host when enhanced logging is enabled scsi: lpfc: Avoid usage of list iterator variable after loop scsi: lpfc: Check kzalloc() in lpfc_sli4_cgn_params_read() scsi: ufs: core: Add soft dependency on governor_simpleondemand scsi: hisi_sas: Check devm_add_action() return value scsi: qla2xxx: Add option to disable FC2 Target support scsi: target: iscsi: Fix an error message in iscsi_check_key() selftests/bpf: check that modifier resolves after pointer m68k: Only force 030 bus error if PC not in exception table m68k: mm: Fix systems with memory at end of 32-bit address space HID: intel-ish-hid: ipc: Fix potential use-after-free in work function HID: logitech-hidpp: Add support for Logitech MX Master 3S mouse ca8210: fix mac_len negative array access HID: cp2112: Fix driver not registering GPIO IRQ chip as threaded drm/cirrus: NULL-check pipe->plane.state->fb in cirrus_pipe_update() riscv: Bump COMMAND_LINE_SIZE value to 1024 ACPI: x86: utils: Add Cezanne to the list for forcing StorageD3Enable ACPI: x86: Drop quirk for HP Elitebook ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx (8A43) ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks thunderbolt: Rename shadowed variables bit to interrupt_bit and auto_clear_bit thunderbolt: Use const qualifier for `ring_interrupt_index` thunderbolt: Add missing UNSET_INBOUND_SBTX for retimer access thunderbolt: Disable interrupt auto clear for rings thunderbolt: Fix memory leak in margining thunderbolt: Add quirk to disable CLx thunderbolt: Call tb_check_quirks() after initializing adapters thunderbolt: Use scale field when allocating USB3 bandwidth uas: Add US_FL_NO_REPORT_OPCODES for JMicron JMS583Gen 2 smb3: fix unusable share after force unmount failure smb3: lower default deferred close timeout to address perf regression scsi: qla2xxx: Perform lockless command completion in abort path scsi: qla2xxx: Synchronize the IOCB count to be in order hwmon (it87): Fix voltage scaling for chips with 10.9mV ADCs hwmon: fix potential sensor registration fail if of_node is missing entry/rcu: Check TIF_RESCHED _after_ delayed RCU wake-up perf/x86/amd/core: Always clear status for idx entry: Fix noinstr warning in __enter_from_user_mode() platform/chrome: cros_ec_chardev: fix kernel data leak from ioctl Bluetooth: HCI: Fix global-out-of-bounds Bluetooth: mgmt: Fix MGMT add advmon with RSSI command Bluetooth: btsdio: fix use after free bug in btsdio_remove due to unfinished work Bluetooth: L2CAP: Fix responding with wrong PDU type Bluetooth: btqcomsmd: Fix command timeout after setting BD address drm/amd/display: Set dcn32 caps.seamless_odm net: mdio: thunder: Add missing fwnode_handle_put() net: dsa: mt7530: move setting ssc_delta to PHY_INTERFACE_MODE_TRGMII case net: dsa: mt7530: move lowering TRGMII driving to mt7530_setup() net: dsa: mt7530: move enabling disabling core clock to mt7530_pll_setup() net: asix: fix modprobe "sysfs: cannot create duplicate filename" gve: Cache link_speed value from device Bluetooth: Remove "Power-on" check from Mesh feature Bluetooth: ISO: fix timestamped HCI ISO data packet parsing Bluetooth: btusb: Remove detection of ISO packets over bulk Bluetooth: hci_core: Detect if an ACL packet is in fact an ISO packet Bluetooth: hci_sync: Resume adv with no RPA when active scan ksmbd: fix possible refcount leak in smb2_open() ksmbd: add low bound validation to FSCTL_QUERY_ALLOCATED_RANGES ksmbd: add low bound validation to FSCTL_SET_ZERO_DATA hvc/xen: prevent concurrent accesses to the shared ring mlxsw: spectrum_fid: Fix incorrect local port type nvme-tcp: fix nvme_tcp_term_pdu to match spec net/sonic: use dma_mapping_error() for error check erspan: do not use skb_mac_header() in ndo_start_xmit() atm: idt77252: fix kmemleak when rmmod idt77252 net: dsa: tag_brcm: legacy: fix daisy-chained switches net/mlx5: E-Switch, Fix an Oops in error handling code net/mlx5: Read the TC mapping of all priorities on ETS query net/mlx5e: Overcome slow response for first macsec ASO WQE net/mlx5: Fix steering rules cleanup net/mlx5e: Block entering switchdev mode with ns inconsistency net/mlx5e: Set uplink rep as NETNS_LOCAL bpf: Adjust insufficient default bpf_jit_limit i40e: fix flow director packet filter programming iavf: fix hang on reboot with ice ice: check if VF exists before mode check keys: Do not cache key in task struct if key is requested from kernel thread bootconfig: Fix testcase to increase max node octeontx2-vf: Add missing free for alloc_percpu net/ps3_gelic_net: Use dma_mapping_error net/ps3_gelic_net: Fix RX sk_buff length net: usb: lan78xx: Limit packet length to skb->len net: qcom/emac: Fix use after free bug in emac_remove due to race condition drm/i915/gt: perform uc late init after probe error injection drm/i915/guc: Fix missing ecodes drm/i915/guc: Rename GuC register state capture node to be more obvious drm/i915/fbdev: lock the fbdev obj before vma pin drm/i915: Print return value on error mlxsw: core_thermal: Fix fan speed in maximum cooling state thermal/drivers/mellanox: Use generic thermal_zone_get_trip() function net: stmmac: Fix for mismatched host/device DMA address width net: mdio: fix owner field for mdio buses registered using ACPI net: mdio: fix owner field for mdio buses registered using device-tree net: phy: Ensure state transitions are processed from phy_stop() xirc2ps_cs: Fix use after free bug in xirc2ps_detach qed/qed_sriov: guard against NULL derefs from qed_iov_get_vf_info efi/libstub: smbios: Use length member instead of record struct size net: usb: smsc95xx: Limit packet length to skb->len net: dsa: b53: mmap: fix device tree support nfsd: don't replace page in rq_pages if it's a continuation of last page scsi: scsi_dh_alua: Fix memleak for 'qdata' in alua_activate() i2c: hisi: Only use the completion interrupt to finish the transfer i2c: mxs: ensure that DMA buffers are safe for DMA i2c: imx-lpi2c: check only for enabled interrupt flags igc: fix the validation logic for taprio's gate list igbvf: Regard vf reset nack as success intel/igbvf: free irq on the error path in igbvf_request_msix() iavf: do not track VLAN 0 filters iavf: fix non-tunneled IPv6 UDP packet type and hashing iavf: fix inverted Rx hash condition leading to disabled hash xsk: Add missing overflow check in xdp_umem_reg NFS: Fix /proc/PID/io read_bytes for buffered reads arm64: dts: imx93: add missing #address-cells and #size-cells to i2c nodes arm64: dts: imx8mn: specify #sound-dai-cells for SAI nodes ARM: dts: imx6sl: tolino-shine2hd: fix usbotg1 pinctrl ARM: dts: imx6sll: e60k02: fix usbotg1 pinctrl ARM: dts: imx6sll: e70k02: fix usbotg1 pinctrl arm64: dts: imx8dxl-evk: Fix eqos phy reset gpio arm64: dts: imx8dxl-evk: Disable hibernation mode of AR8031 for EQOS power: supply: da9150: Fix use after free bug in da9150_charger_remove due to race condition power: supply: bq24190: Fix use after free bug in bq24190_remove due to race condition arm64: dts: qcom: sm8450: Mark UFS controller as cache coherent drm/amd/display: Fix DP MST sinks removal issue mptcp: fix UaF in listener shutdown mptcp: use the workqueue to destroy unaccepted sockets mptcp: refactor passive socket initialization drm/amd/display: Remove OTG DIV register write for Virtual signals. drm/amd/display: fix k1 k2 divider programming for phantom streams drm/amd/display: Include virtual signal to set k1 and k2 values tracing/hwlat: Replace sched_setaffinity with set_cpus_allowed_ptr perf: fix perf_event_context->time perf/core: Fix perf_output_begin parameter is incorrectly invoked in perf_event_bpf_output interconnect: qcom: qcm2290: Fix MASTER_SNOC_BIMC_NRT interconnect: qcom: sm8450: switch to qcom_icc_rpmh_* function interconnect: qcom: osm-l3: fix icc_onecell_data allocation Linux 6.1.21 powerpc/64: Replace -mcpu=e500mc64 by -mcpu=e5500 powerpc: Disable CPU unknown by CLANG when CC_IS_CLANG perf: Fix check before add_event_to_groups() in perf_group_detach() io_uring/msg_ring: let target know allocated index virt/coco/sev-guest: Add throttling awareness virt/coco/sev-guest: Convert the sw_exit_info_2 checking to a switch-case virt/coco/sev-guest: Do some code style cleanups virt/coco/sev-guest: Carve out the request issuing logic into a helper virt/coco/sev-guest: Remove the disable_vmpck label in handle_guest_request() virt/coco/sev-guest: Simplify extended guest request handling virt/coco/sev-guest: Check SEV_SNP attribute at probe time powerpc: Pass correct CPU reference to assembler x86/resctrl: Clear staged_config[] before and after it is used x86/mm: Fix use of uninitialized buffer in sme_enable() x86/mce: Make sure logged MCEs are processed after sysfs update ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP ASoC: Intel: soc-acpi: fix copy-paste issue in topology names cpuidle: psci: Iterate backwards over list in psci_pd_remove() fbdev: Fix incorrect page mapping clearance at fb_deferred_io_release() net: phy: nxp-c45-tja11xx: fix MII_BASIC_CONFIG_REV bit ACPI: PPTT: Fix to avoid sleep in the atomic context when PPTT is absent trace/hwlat: Do not start per-cpu thread if it is already running trace/hwlat: Do not wipe the contents of per-cpu thread data fbdev: stifb: Provide valid pixelclock and add fb_check_var() checks mmc: sdhci_am654: lower power-on failed message severity powerpc/boot: Don't always pass -mcpu=powerpc when building 32-bit uImage powerpc/64: Set default CPU in Kconfig mm: teach mincore_hugetlb about pte markers mm/userfaultfd: propagate uffd-wp bit when PTE-mapping the huge zeropage vp_vdpa: fix the crash in hot unplug with vp_vdpa ice: avoid bonding causing auxiliary plug/unplug under RTNL lock nvme-pci: add NVME_QUIRK_BOGUS_NID for Netac NV3000 ocfs2: fix data corruption after failed write ftrace: Fix invalid address access in lookup_rec() when index is 0 mptcp: fix lockdep false positive in mptcp_pm_nl_create_listen_socket() mptcp: avoid setting TCP_CLOSE state twice mptcp: add ro_after_init for tcp{,v6}_prot_override mptcp: fix possible deadlock in subflow_error_report drm/amd/display: disconnect MPCC only on OTG change drm/amd/display: Do not set DRR on pipe Commit drm/amd/pm: bump SMU 13.0.4 driver_if header version drm/amd/pm: Fix sienna cichlid incorrect OD volage after resume drm/amdgpu: Don't resume IOMMU after incomplete init drm/i915/dg2: Add HDMI pixel clock frequencies 267.30 and 319.89 MHz drm/i915/active: Fix misuse of non-idle barriers as fence trackers drm/sun4i: fix missing component unbind on bind errors drm/shmem-helper: Remove another errant put in error path riscv: asid: Fixup stale TLB entry cause application crash Revert "riscv: mm: notify remote harts about mmu cache updates" ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on a HP platform ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro ALSA: hda: intel-dsp-config: add MTL PCI id KVM: nVMX: add missing consistency checks for CR0 and CR4 KVM: SVM: Modify AVIC GATag to support max number of 512 vCPUs KVM: SVM: Fix a benign off-by-one bug in AVIC physical table mask cifs: Fix smb2_set_path_size() tracing: Make tracepoint lockdep check actually test something tracing: Check field value in hist_field_name() tracing: Make splice_read available again cifs: generate signkey for the channel that's reconnecting md: select BLOCK_LEGACY_AUTOLOAD interconnect: exynos: fix registration race interconnect: exynos: fix node leak in probe PM QoS error path interconnect: qcom: msm8974: fix registration race interconnect: qcom: rpmh: fix registration race interconnect: qcom: rpmh: fix probe child-node error handling interconnect: qcom: rpm: fix registration race interconnect: qcom: rpm: fix probe child-node error handling interconnect: qcom: osm-l3: fix registration race interconnect: fix mem leak when freeing nodes interconnect: imx: fix registration race interconnect: fix provider registration API interconnect: fix icc_provider_del() error handling s390/ipl: add missing intersection check to ipl_report handling drm/ttm: Fix a NULL pointer dereference memory: tegra30-emc: fix interconnect registration race memory: tegra124-emc: fix interconnect registration race memory: tegra20-emc: fix interconnect registration race memory: tegra: fix interconnect registration race firmware: xilinx: don't make a sleepable memory allocation from an atomic context serial: 8250: ASPEED_VUART: select REGMAP instead of depending on it serial: 8250_fsl: fix handle_irq locking serial: 8250_em: Fix UART port type tty: serial: fsl_lpuart: skip waiting for transmission complete when UARTCTRL_SBK is asserted Revert "tty: serial: fsl_lpuart: adjust SERIAL_FSL_LPUART_CONSOLE config dependency" ext4: fix possible double unlock when moving a directory drm/amd/display: fix shift-out-of-bounds in CalculateVMAndRowBytes drm/amdgpu: fix ttm_bo calltrace warning in psp_hw_fini sh: intc: Avoid spurious sizeof-pointer-div warning LoongArch: Only call get_timer_irq() once in constant_clockevent_init() net/9p: fix bug in client create for .L drm/amdkfd: Fix an illegal memory access ext4: fix task hung in ext4_xattr_delete_inode ext4: update s_journal_inum if it changes after journal replay ext4: fail ext4_iget if special inode unallocated rust: arch/um: Disable FP/SIMD instruction to match x86 jffs2: correct logic when creating a hole in jffs2_write_begin soc: mediatek: mtk-svs: keep svs alive if CONFIG_DEBUG_FS not supported mmc: atmel-mci: fix race between stop command and start of next command media: m5mols: fix off-by-one loop termination error hwmon: (ltc2992) Set `can_sleep` flag for GPIO chip hwmon: (adm1266) Set `can_sleep` flag for GPIO chip kconfig: Update config changed flag before calling callback hwmon: tmp512: drop of_match_ptr for ID table hwmon: (ucd90320) Add minimum delay between bus accesses hwmon: (ina3221) return prober error code hwmon: (xgene) Fix use after free bug in xgene_hwmon_remove due to race condition hwmon: (adt7475) Fix masking of hysteresis registers hwmon: (adt7475) Display smoothing attributes in correct order bonding: restore bond's IFF_SLAVE flag if a non-eth dev enslave fails bonding: restore IFF_MASTER/SLAVE flags on bond enslave ether type change ethernet: sun: add check for the mdesc_grab() net: dsa: microchip: fix RGMII delay configuration on KSZ8765/KSZ8794/KSZ8795 qed/qed_mng_tlv: correctly zero out ->min instead of ->hour selftests: net: devlink_port_split.py: skip test if no suitable device available i825xx: sni_82596: use eth_hw_addr_set() net/iucv: Fix size of interrupt data net: atlantic: Fix crash when XDP is enabled but no program is loaded net: usb: smsc75xx: Move packet length check to prevent kernel panic in skb_pull ipv4: Fix incorrect table ID in IOCTL path sh_eth: avoid PHY being resumed when interface is not up ravb: avoid PHY being resumed when interface is not up net: dsa: mv88e6xxx: fix max_mtu of 1492 on 6165, 6191, 6220, 6250, 6290 net: dsa: don't error out when drivers return ETH_DATA_LEN in .port_max_mtu() ice: xsk: disable txq irq before flushing hw veth: Fix use after free in XDP_REDIRECT net/mlx5: Set BREAK_FW_WAIT flag first when removing driver net/mlx5e: Fix cleanup null-ptr deref on encap lock net/mlx5: E-switch, Fix missing set of split_count when forward to ovs internal port net/mlx5: E-switch, Fix wrong usage of source port rewrite in split rules net/mlx5e: Support Geneve and GRE with VF tunnel offload net/mlx5: Disable eswitch before waiting for VF pages net/mlx5: Fix setting ec_function bit in MANAGE_PAGES net/mlx5e: Don't cache tunnel offloads capability net/mlx5e: Fix macsec ASO context alignment block: sunvdc: add check for mdesc_grab() returning NULL nvmet: avoid potential UAF in nvmet_req_complete() nvme: fix handling single range discard request block: null_blk: Fix handling of fake timeout request powerpc/mm: Fix false detection of read faults drm/bridge: Fix returned array size name for atomic_get_input_bus_fmts kdoc net: usb: smsc75xx: Limit packet length to skb->len net/smc: fix deadlock triggered by cancel_delayed_work_syn() mlxsw: spectrum: Fix incorrect parsing depth after reload nfc: st-nci: Fix use after free bug in ndlc_remove due to race condition tcp: Fix bind() conflict check for dual-stack wildcard address. net: phy: smsc: bail out in lan87xx_read_status if genphy_read_status fails net: tunnels: annotate lockless accesses to dev->needed_headroom loop: Fix use-after-free issues block: do not reverse request order when flushing plug list net: dsa: mt7530: set PLL frequency and trgmii only when trgmii is used net: dsa: mt7530: remove now incorrect comment regarding port 5 qed/qed_dev: guard against a possible division by zero net/smc: fix NULL sndbuf_desc in smc_cdc_tx_handler() drm/i915/sseu: fix max_subslices array-index-out-of-bounds access drm/i915/psr: Use calculated io and fast wake lines PCI: s390: Fix use-after-free of PCI resources with per-function hotplug vdpa_sim: set last_used_idx as last_avail_idx in vdpasim_queue_ready vdpa_sim: not reset state in vdpasim_queue_ready vhost-vdpa: free iommu domain after last use during cleanup i40e: Fix kernel crash during reboot when adapter is in recovery mode ipvlan: Make skb->skb_iif track skb->dev for l3s mode nfc: pn533: initialize struct pn533_out_arg properly selftests: fix LLVM build for i386 and x86_64 wifi: cfg80211: fix MLO connection ownership wifi: nl80211: fix NULL-ptr deref in offchan check vdpa/mlx5: should not activate virtq object when suspended tcp: tcp_make_synack() can be called from process context ftrace,kcfi: Define ftrace_stub_graph conditionally scsi: core: Fix a procfs host directory removal regression scsi: core: Add BLIST_NO_VPD_SIZE for some VDASD netfilter: nft_redir: correct value of inet type `.maxattrs` netfilter: nft_redir: correct length for loading protocol registers netfilter: nft_masq: correct length for loading protocol registers netfilter: nft_nat: correct length for loading protocol registers ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU() scsi: mpi3mr: Fix expander node leak in mpi3mr_remove() scsi: mpi3mr: ioctl timeout when disabling/enabling interrupt scsi: mpi3mr: Fix memory leaks in mpi3mr_init_ioc() scsi: mpi3mr: Return proper values for failures in firmware init path scsi: mpi3mr: Fix sas_hba.phy memory leak in mpi3mr_remove() scsi: mpi3mr: Fix mpi3mr_hba_port memory leak in mpi3mr_remove() scsi: mpi3mr: Fix config page DMA memory leak scsi: mpi3mr: Fix throttle_groups memory leak scsi: mpt3sas: Fix NULL pointer access in mpt3sas_transport_port_add() docs: Correct missing "d_" prefix for dentry_operations member d_weak_revalidate ASoC: SOF: ipc4-topology: set dmic dai index from copier ASOC: SOF: Intel: pci-tgl: Fix device description ASoC: SOF: Intel: SKL: Fix device description ASoC: SOF: Intel: HDA: Fix device description ASoC: SOF: Intel: MTL: Fix the device description clk: HI655X: select REGMAP instead of depending on it drm/meson: fix 1px pink line on GXM when scaling video overlay cifs: Move the in_send statistic to __smb_send_rqst() fbdev: chipsfb: Fix error codes in chipsfb_pci_init() drm/panfrost: Don't sync rpm suspension after mmu flushing drm/msm/gem: Prevent blocking within shrinker loop drm/virtio: Pass correct device to dma_sync_sgtable_for_device() xfrm: Allow transport-mode states with AF_UNSPEC selector Linux 6.1.20 UML: define RUNTIME_DISCARD_EXIT Revert "bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES" filelocks: use mount idmapping for setlease permission check drm/amd/display: adjust MALL size available for DCN32 and DCN321 drm/amd/display: Allow subvp on vactive pipes that are 2560x1440@60 media: rc: gpio-ir-recv: add remove function media: ov5640: Fix analogue gain control scripts: handle BrokenPipeError for python scripts PCI: Add SolidRun vendor ID macintosh: windfarm: Use unsigned type for 1-bit bitfields alpha: fix R_ALPHA_LITERAL reloc for large modules powerpc/kcsan: Exclude udelay to prevent recursive instrumentation powerpc/64: Move paca allocation to early_setup() powerpc/64: Fix task_cpu in early boot when booting non-zero cpuid powerpc/bpf/32: Only set a stack frame when necessary clk: renesas: rcar-gen3: Disable R-Car H3 ES1.* powerpc/iommu: fix memory leak with using debugfs_lookup() powerpc/64: Don't recurse irq replay MIPS: Fix a compilation issue tpm/eventlog: Don't abort tpm_read_log on faulty ACPI address watch_queue: fix IOC_WATCH_QUEUE_SET_SIZE alloc error paths drm/msm/adreno: fix runtime PM imbalance at unbind adreno: Shutdown the GPU properly drm/amdgpu/soc21: Add video cap query support for VCN_4_0_4 drm/amdgpu/soc21: don't expose AV1 if VCN0 is harvested ext4: Fix deadlock during directory rename drm/amdgpu: fix return value check in kfd RISC-V: Don't check text_mutex during stop_machine riscv: Use READ_ONCE_NOCHECK in imprecise unwinding stack mode erofs: Revert "erofs: fix kvcalloc() misuse with __GFP_NOFAIL" af_unix: fix struct pid leaks in OOB support net: dsa: mt7530: permit port 5 to work without port 6 on MT7621 SoC SUNRPC: Fix a server shutdown leak octeontx2-af: Unlock contexts in the queue context cache in case of fault detection net/smc: fix fallback failed while sendmsg with fastopen ethernet: ice: avoid gcc-9 integer overflow warning ice: Fix DSCP PFC TLV creation NFSD: Protect against filesystem freezing block: fix wrong mode for blkdev_put() from disk_scan_partitions() platform: x86: MLX_PLATFORM: select REGMAP instead of depending on it platform: mellanox: select REGMAP instead of depending on it netfilter: conntrack: adopt safer max chain length scsi: sd: Fix wrong zone_write_granularity value during revalidate scsi: megaraid_sas: Update max supported LD IDs to 240 net: tls: fix device-offloaded sendpage straddling records net: ethernet: mtk_eth_soc: fix RX data corruption issue net: phy: smsc: fix link up detection in forced irq mode btf: fix resolving BTF_KIND_VAR after ARRAY, STRUCT, UNION, PTR bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES btrfs: fix extent map logging bit not cleared for split maps after dropping range m68k: mm: Move initrd phys_to_virt handling after paging_init() netfilter: tproxy: fix deadlock due to missing BH disable netfilter: ctnetlink: revert to dumping mark regardless of event type bnxt_en: Avoid order-5 memory allocation for TPA data net: phylib: get rid of unnecessary locking net: stmmac: add to set device wake up flag when stmmac init phy drm/msm/dpu: clear DSPP reservations in rm release drm/msm/dpu: fix clocks settings for msm8998 SSPP blocks drm/msm/dpu: drop DPU_DIM_LAYER from MIXER_MSM8998_MASK drm/msm/dpu: fix len of sc7180 ctl blocks bpf, sockmap: Fix an infinite loop error when len is 0 in tcp_bpf_recvmsg_parser() ice: copy last block omitted in ice_get_module_eeprom() net: caif: Fix use-after-free in cfusbl_device_notify() net: lan78xx: fix accessing the LAN7800's internal phy specific registers from the MAC driver perf stat: Fix counting when initial delay configured net: use indirect calls helpers for sk_exit_memory_pressure() net: tls: fix possible race condition between do_tls_getsockopt_conf() and do_tls_setsockopt_conf() netfilter: nft_quota: copy content when cloning expression netfilter: nft_last: copy content when cloning expression selftests: nft_nat: ensuring the listening side is up before starting the client net: lan966x: Fix port police support using tc-matchall ila: do not generate empty messages in ila_xlat_nl_cmd_get_mapping() drm/msm/dpu: disable features unsupported by QCM2290 tls: rx: fix return value for async crypto powerpc: dts: t1040rdb: fix compatible string for Rev A boards nfc: fdp: add null check of devm_kmalloc_array in fdp_nci_i2c_read_device_properties bgmac: fix *initial* chip reset to support BCM5358 drm/msm/a5xx: fix context faults during ring switch drm/msm/a5xx: fix the emptyness check in the preempt code drm/msm/a5xx: fix highest bank bit for a530 drm/msm/a5xx: fix setting of the CP_PREEMPT_ENABLE_LOCAL register drm/msm: Fix potential invalid ptr free drm/nouveau/kms/nv50: fix nv50_wndw_new_ prototype cifs: improve checking of DFS links over STATUS_OBJECT_NAME_INVALID ext4: Fix possible corruption when moving a directory scsi: core: Remove the /proc/scsi/${proc_name} directory earlier riscv: Add header include guards to insn.h block: fix scan partition for exclusively open device again block: Revert "block: Do not reread partition table on exclusively open device" drm/i915: Populate encoder->devdata for DSI on icl+ drm/i915: Do panel VBT init early if the VBT declares an explicit panel type drm/i915: Introduce intel_panel_init_alloc() spi: intel: Check number of chip selects after reading the descriptor ipmi:ssif: Add a timer between request retries ipmi:ssif: Increase the message retry time ipmi:ssif: Remove rtc_us_timer Input: exc3000 - properly stop timer on shutdown bus: mhi: ep: Change state_lock to mutex bus: mhi: ep: Power up/down MHI stack during MHI RESET udf: Fix off-by-one error when discarding preallocation fs: dlm: fix race setting stop tx flag fs: dlm: be sure to call dlm_send_queue_flush() fs: dlm: use WARN_ON_ONCE() instead of WARN_ON() fs: dlm: fix use after free in midcomms commit fd: dlm: trace send/recv of dlm message and rcom fs: dlm: use packet in dlm_mhandle fs: dlm: remove send repeat remove handling fs: dlm: start midcomms before scand fs: dlm: add midcomms init/start functions fs: dlm: fix log of lowcomms vs midcomms KVM: VMX: Do _all_ initialization before exposing /dev/kvm to userspace KVM: x86: Move guts of kvm_arch_init() to standalone helper KVM: VMX: Don't bother disabling eVMCS static key on module exit KVM: VMX: Reset eVMCS controls in VP assist page during hardware disabling nfc: change order inside nfc_se_io error path HID: uhid: Over-ride the default maximum data buffer value with our own HID: core: Provide new max_buffer_size attribute to over-ride the default ext4: zero i_disksize when initializing the bootloader inode ext4: fix WARNING in ext4_update_inline_data ext4: move where set the MAY_INLINE_DATA flag is set ext4: fix another off-by-one fsmap error on 1k block filesystems ext4: fix RENAME_WHITEOUT handling for inline directories ext4: fix cgroup writeback accounting with fs-layer encryption staging: rtl8723bs: Pass correct parameters to cfg80211_get_bss() staging: rtl8723bs: Fix key-store index handling drm/connector: print max_requested_bpc in state debugfs drm/display: Don't block HDR_OUTPUT_METADATA on unknown EOTF drm/amdgpu: fix error checking in amdgpu_read_mm_registers for nv drm/amdgpu: fix error checking in amdgpu_read_mm_registers for soc21 drm/amdgpu: fix error checking in amdgpu_read_mm_registers for soc15 x86/CPU/AMD: Disable XSAVES on AMD family 0x17 RISC-V: Stop emitting attributes fork: allow CLONE_NEWTIME in clone3 flags perf inject: Fix --buildid-all not to eat up MMAP2 erofs: fix wrong kunmap when using LZMA on HIGHMEM platforms io_uring/uring_cmd: ensure that device supports IOPOLL btrfs: fix percent calculation for bg reclaim message btrfs: fix unnecessary increment of read error stat on write error fs: prevent out-of-bounds array speculation when closing a file descriptor Linux 6.1.19 staging: rtl8192e: Remove call_usermodehelper starting RadioPower.sh staging: rtl8192e: Remove function ..dm_check_ac_dc_power calling a script wifi: cfg80211: Partial revert "wifi: cfg80211: Fix use after free for wext" tpm: disable hwrng for fTPM on some AMD designs Linux 6.1.18 usb: gadget: uvc: fix missing mutex_unlock() if kstrtou8() fails arm64: Reset KASAN tag in copy_highpage with HW tags only arm64: mte: Fix/clarify the PG_mte_tagged semantics arm64: efi: Make efi_rt_lock a raw_spinlock io_uring/poll: allow some retries for poll triggering spuriously io_uring: fix two assignments in if conditions media: uvcvideo: Fix race condition with usb_kill_urb drm/i915: Fix system suspend without fbdev being initialized drm/i915/dp_mst: Add the MST topology state for modesetted CRTCs drm/display/dp_mst: Fix payload addition on a disconnected sink drm/display/dp_mst: Fix down message handling after a packet reception error drm/display/dp_mst: Fix down/up message handling after sink disconnect drm/display/dp_mst: Add drm_atomic_get_old_mst_topology_state() vDPA/ifcvf: allocate the adapter in dev_add() vDPA/ifcvf: manage ifcvf_hw in the mgmt_dev vDPA/ifcvf: ifcvf_request_irq works on ifcvf_hw vDPA/ifcvf: decouple config/dev IRQ requester and vectors allocator from the adapter vDPA/ifcvf: decouple vq irq requester from the adapter vDPA/ifcvf: decouple config IRQ releaser from the adapter vDPA/ifcvf: decouple vq IRQ releasers from the adapter vDPA/ifcvf: alloc the mgmt_dev before the adapter vDPA/ifcvf: decouple config space ops from the adapter vDPA/ifcvf: decouple hw features manipulators from the adapter x86/resctl: fix scheduler confusion with 'current' net: tls: avoid hanging tasks on the tx_lock soundwire: cadence: Drain the RX FIFO after an IO timeout soundwire: cadence: Remove wasted space in response_buf iommu: Attach device group to old domain in error path RDMA/cma: Distinguish between sockaddr_in and sockaddr_in6 by size phy: rockchip-typec: Fix unsigned comparison with less than zero PCI: pciehp: Add Qualcomm quirk for Command Completed erratum PCI: Add ACS quirk for Wangxun NICs PCI: loongson: Add more devices that need MRRS quirk kernel/fail_function: fix memory leak with using debugfs_lookup() drivers: base: dd: fix memory leak with using debugfs_lookup() drivers: base: component: fix memory leak with using debugfs_lookup() misc: vmw_balloon: fix memory leak with using debugfs_lookup() tty: pcn_uart: fix memory leak with using debugfs_lookup() PCI: Distribute available resources for root buses, too PCI: Take other bus devices into account when distributing resources PCI: Align extra resources for hotplug bridges properly usb: gadget: uvc: Make bSourceID read/write usb: uvc: Enumerate valid values for color matching USB: ene_usb6250: Allocate enough memory for full object usb: host: xhci: mvebu: Iterate over array indexes instead of using pointer math USB: gadget: pxa27x_udc: fix memory leak with using debugfs_lookup() USB: gadget: pxa25x_udc: fix memory leak with using debugfs_lookup() USB: gadget: lpc32xx_udc: fix memory leak with using debugfs_lookup() USB: gadget: bcm63xx_udc: fix memory leak with using debugfs_lookup() USB: gadget: gr_udc: fix memory leak with using debugfs_lookup() USB: isp1362: fix memory leak with using debugfs_lookup() USB: isp116x: fix memory leak with using debugfs_lookup() USB: fotg210: fix memory leak with using debugfs_lookup() USB: sl811: fix memory leak with using debugfs_lookup() USB: uhci: fix memory leak with using debugfs_lookup() USB: ULPI: fix memory leak with using debugfs_lookup() USB: chipidea: fix memory leak with using debugfs_lookup() USB: dwc3: fix memory leak with using debugfs_lookup() staging: pi433: fix memory leak with using debugfs_lookup() PCI: loongson: Prevent LS7A MRRS increases soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe() media: uvcvideo: Add GUID for BGRA/X 8:8:8:8 iio: accel: mma9551_core: Prevent uninitialized variable in mma9551_read_config_word() iio: accel: mma9551_core: Prevent uninitialized variable in mma9551_read_status_word() bus: mhi: ep: Fix the debug message for MHI_PKT_TYPE_RESET_CHAN_CMD cmd tools/iio/iio_utils:fix memory leak mei: bus-fixup:upon error print return values of send and receive serial: sc16is7xx: setup GPIO controller later in probe tty: serial: fsl_lpuart: disable the CTS when send break signal tty: fix out-of-bounds access in tty_driver_lookup_tty() staging: emxx_udc: Add checks for dma_alloc_coherent() cacheinfo: Fix shared_cpu_map to handle shared caches at different levels USB: fix memory leak with using debugfs_lookup() media: uvcvideo: Silence memcpy() run-time false positive warnings media: uvcvideo: Quirk for autosuspend in Logitech B910 and C910 media: uvcvideo: Handle errors from calls to usb_string media: uvcvideo: Handle cameras with invalid descriptors media: uvcvideo: Remove format descriptions PCI/ACPI: Account for _S0W of the target bridge in acpi_pci_bridge_d3() iommu/amd: Fix error handling for pdev_pri_ats_enable() IB/hfi1: Update RMT size calculation mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt leak bootconfig: Increase max nodes of bootconfig from 1024 to 8192 for DCC support firmware/efi sysfb_efi: Add quirk for Lenovo IdeaPad Duet 3 kernel/printk/index.c: fix memory leak with using debugfs_lookup() tracing: Add NULL checks for buffer in ring_buffer_free_read_page() thermal: intel: BXT_PMIC: select REGMAP instead of depending on it thermal: intel: quark_dts: fix error pointer dereference ASoC: mediatek: mt8195: add missing initialization ASoC: zl38060 add gpiolib dependency nvme-fabrics: show well known discovery name nvme-tcp: don't access released socket during error recovery nvme: bring back auto-removal of deleted namespaces during sequential scan ASoC: apple: mca: Improve handling of unavailable DMA channels ASoC: apple: mca: Fix SERDES reset sequence ASoC: apple: mca: Fix final status read on SERDES reset ASoC: adau7118: don't disable regulators on device unbind loop: loop_set_status_from_info() check before assignment io_uring: fix size calculation when registering buf ring rtc: allow rtc_read_alarm without read_alarm callback scsi: mpi3mr: Use number of bits to manage bitmap sizes scsi: mpi3mr: Fix an issue found by KASAN scsi: ipr: Work around fortify-string warning genirq/ipi: Fix NULL pointer deref in irq_data_get_affinity_mask() rtc: sun6i: Always export the internal oscillator spi: tegra210-quad: Fix iterator outside loop vc_screen: modify vcs_size() handling in vcs_read() tcp: tcp_check_req() can be called from process context ARM: dts: spear320-hmi: correct STMPE GPIO compatible net: dsa: felix: fix internal MDIO controller resource length net: dsa: seville: ignore mscc-miim read errors from Lynx PCS net/sched: act_sample: fix action bind logic net/sched: act_mpls: fix action bind logic net/sched: act_pedit: fix action bind logic net/sched: transition act_pedit to rcu and percpu stats nfc: fix memory leak of se_io context in nfc_genl_se_io ext4: fix incorrect options show of original mount_opt and extend mount_opt2 net/mlx5: Geneve, Fix handling of Geneve object id as error code net/mlx5e: Verify flow_source cap before using it net/mlx5: ECPF, wait for VF pages only after disabling host PFs mlx5: fix possible ptp queue fifo use-after-free mlx5: fix skb leak while fifo resync and push spi: tegra210-quad: Fix validate combined sequence 9p/rdma: unmap receive dma buffer in rdma_request()/post_recv() 9p/xen: fix connection sequence 9p/xen: fix version parsing net: fix __dev_kfree_skb_any() vs drop monitor octeontx2-pf: Use correct struct reference in test condition sctp: add a refcnt in sctp_stream_priorities to avoid a nested loop net: sunhme: Fix region request octeontx2-pf: Recalculate UDP checksum for ptp 1-step sync packet ipv6: Add lwtunnel encap size of all siblings in nexthop calculation drm/i915: move a Kconfig symbol to unbreak the menu presentation ptp: vclock: use mutex to fix "sleep on atomic" bug netfilter: x_tables: fix percpu counter block leak on error path when creating new netns netfilter: ctnetlink: make event listener tracking global netfilter: xt_length: use skb len to match in length_mt6 netfilter: ebtables: fix table blob use-after-free netfilter: ip6t_rpfilter: Fix regression with VRF interfaces netfilter: conntrack: fix rmmod double-free race netfilter: ctnetlink: fix possible refcount leak in ctnetlink_create_conntrack() watchdog: sbsa_wdog: Make sure the timeout programming is within the limits watchdog: pcwd_usb: Fix attempting to access uninitialized memory watchdog: Fix kmemleak in watchdog_cdev_register watchdog: at91sam9_wdt: use devm_request_irq to avoid missing free_irq() in error path watchdog: rzg2l_wdt: Handle TYPE-B reset for RZ/V2M watchdog: rzg2l_wdt: Issue a reset before we put the PM clocks f2fs: synchronize atomic write aborts um: virt-pci: properly remove PCI device from bus um: virtio_uml: move device breaking into workqueue um: virtio_uml: mark device as unregistered when breaking it um: virtio_uml: free command if adding to virtqueue failed x86: um: vdso: Add '%rcx' and '%r11' to the syscall clobber list netfilter: nf_tables: allow to fetch set elements when table has an owner ext4: don't show commit interval if it is zero ext4: use ext4_fc_tl_mem in fast-commit replay path soc: qcom: stats: Populate all subsystem debugfs files f2fs: fix to avoid potential memory corruption in __update_iostat_latency() ubi: ubi_wl_put_peb: Fix infinite loop when wear-leveling work failed ubi: Fix UAF wear-leveling entry in eraseblk_count_seq_show() ubi: fastmap: Fix missed fm_anchor PEB in wear-leveling after disabling fastmap ubifs: ubifs_releasepage: Remove ubifs_assert(0) to valid this process ubifs: ubifs_writepage: Mark page dirty after writing inode failed ubifs: dirty_cow_znode: Fix memleak in error handling path ubifs: Re-statistic cleaned znode count if commit failed ubi: Fix possible null-ptr-deref in ubi_free_volume() ubifs: Fix memory leak in alloc_wbufs() ubi: Fix unreferenced object reported by kmemleak in ubi_resize_volume() ubi: Fix use-after-free when volume resizing failed ubifs: Reserve one leb for each journal head while doing budget ubifs: do_rename: Fix wrong space budget when target inode's nlink > 1 ubifs: Fix wrong dirty space budget for dirty inode ubifs: Rectify space budget for ubifs_xrename() ubifs: Rectify space budget for ubifs_symlink() if symlink is encrypted ubifs: Fix memory leak in ubifs_sysfs_init() ubifs: Fix build errors as symbol undefined ubi: ensure that VID header offset + VID header size <= alloc, size um: vector: Fix memory leak in vector_config f2fs: fix to abort atomic write only during do_exist() f2fs: allow set compression option of files without blocks fs: f2fs: initialize fsdata in pagecache_write() soc: mediatek: mtk-svs: Use pm_runtime_resume_and_get() in svs_init01() soc: mediatek: mtk-svs: reset svs when svs_resume() fail soc: mediatek: mtk-svs: restore default voltages when svs_init02() fail f2fs: clear atomic_write_task in f2fs_abort_atomic_write() f2fs: correct i_size change for atomic writes f2fs: introduce trace_f2fs_replace_atomic_write_block pwm: stm32-lp: fix the check on arr and cmp registers update pwm: sifive: Always let the first pwm_apply_state succeed soc: mediatek: mtk-svs: Enable the IRQ later memory: renesas-rpc-if: Move resource acquisition to .probe() memory: renesas-rpc-if: Split-off private data from struct rpcif soc: mediatek: mtk-pm-domains: Allow mt8186 ADSP default power on objtool: Fix memory leak in create_static_call_sections() f2fs: fix to avoid potential deadlock f2fs: don't rely on F2FS_MAP_* in f2fs_iomap_begin driver: soc: xilinx: fix memory leak in xlnx_add_cb_for_notify_event() fs/jfs: fix shift exponent db_agl2size negative auxdisplay: hd44780: Fix potential memory leak in hd44780_remove() net/sched: Retire tcindex classifier Linux 6.1.17 Revert "blk-cgroup: dropping parent refcount after pd_free_fn() is done" Revert "blk-cgroup: synchronize pd_free_fn() from blkg_free_workfn() and blkcg_deactivate_policy()" Linux 6.1.16 net: avoid double iput when sock_alloc_file fails kbuild: Port silent mode detection to future gnu make. sbitmap: Try each queue to wake up at least one waiter wait: Return number of exclusive waiters awaken sbitmap: Advance the queue index before waking up a queue brd: use radix_tree_maybe_preload instead of radix_tree_preload qede: avoid uninitialized entries in coal_entry array drm/edid: fix parsing of 3D modes from HDMI VSDB drm/edid: fix AVI infoframe aspect ratio handling drm/gud: Fix UBSAN warning drm/i915: Don't use BAR mappings for ring buffers with LLC drm/i915: Don't use stolen memory for ring buffers with LLC drm/radeon: Fix eDP for single-display iMac11,2 drm/i915/quirks: Add inverted backlight quirk for HP 14-r206nv drm/amd: Fix initialization for nbio 7.5.1 vfio/type1: restore locked_vm vfio/type1: track locked_vm per dma vfio/type1: prevent underflow of locked_vm via exec() vfio/type1: exclude mdevs from VFIO_UPDATE_VADDR iommu/vt-d: Fix PASID directory pointer coherency iommu/vt-d: Avoid superfluous IOTLB tracking in lazy mode bus: mhi: ep: Save channel state locally during suspend and resume bus: mhi: ep: Move chan->lock to the start of processing queued ch ring bus: mhi: ep: Only send -ENOTCONN status if client driver is available PCI/DPC: Await readiness of secondary bus after reset PCI: Avoid FLR for AMD FCH AHCI adapters PCI: hotplug: Allow marking devices as disconnected during bind/unbind PCI: Unify delay handling for reset and resume PCI/PM: Observe reset delay irrespective of bridge_d3 MIPS: DTS: CI20: fix otg power gpio riscv: ftrace: Reduce the detour code size to half riscv: ftrace: Remove wasted nops for !RISCV_ISA_C riscv, mm: Perform BPF exhandler fixup on page fault riscv: jump_label: Fixup unaligned arch_static_branch function riscv: mm: fix regression due to update_mmu_cache change riscv: Avoid enabling interrupts in die() RISC-V: add a spin_shadow_stack declaration scsi: ses: Fix slab-out-of-bounds in ses_intf_remove() scsi: ses: Fix possible desc_ptr out-of-bounds accesses scsi: ses: Fix possible addl_desc_ptr out-of-bounds accesses scsi: ses: Fix slab-out-of-bounds in ses_enclosure_data_process() scsi: ses: Don't attach if enclosure has no components scsi: qla2xxx: Remove increment of interface err cnt scsi: qla2xxx: Fix erroneous link down scsi: qla2xxx: Remove unintended flag clearing scsi: qla2xxx: Fix DMA-API call trace on NVMe LS requests scsi: qla2xxx: Check if port is online before sending ELS scsi: qla2xxx: Fix link failure in NPIV environment scsi: aacraid: Allocate cmd_priv with scsicmd iommu/amd: Improve page fault error reporting iommu/amd: Add a length limitation for the ivrs_acpihid command-line parameter tracing/eprobe: Fix to add filter on eprobe description in README file tools/bootconfig: fix single & used for logical condition ring-buffer: Handle race between rb_move_tail and rb_check_pages memory tier: release the new_memtier in find_create_memory_tier() ktest.pl: Add RUN_TIMEOUT option with default unlimited ktest.pl: Fix missing "end_monitor" when machine check fails kprobes: Fix to handle forcibly unoptimized kprobes on freeing_list ktest.pl: Give back console on Ctrt^C on monitor mm/thp: check and bail out if page in deferred queue already mm: memcontrol: deprecate charge moving docs: gdbmacros: print newest record remoteproc/mtk_scp: Move clk ops outside send_lock media: ipu3-cio2: Fix PM runtime usage_count in driver unbind mips: fix syscall_get_nr dax/kmem: Fix leak of memory-hotplug resources alpha: fix FEN fault handling mm/hwpoison: convert TTU_IGNORE_HWPOISON to TTU_HWPOISON panic: fix the panic_print NMI backtrace setting regulator: core: Use ktime_get_boottime() to determine how long a regulator was off ceph: update the time stamps and try to drop the suid/sgid rbd: avoid use-after-free in do_rbd_add() when rbd_dev_create() fails fuse: add inode/permission checks to fileattr_get/fileattr_set arm64: mm: hugetlb: Disable HUGETLB_PAGE_OPTIMIZE_VMEMMAP ARM: dts: exynos: correct TMU phandle in Odroid HC1 ARM: dts: exynos: correct TMU phandle in Odroid XU ARM: dts: exynos: correct TMU phandle in Exynos5250 ARM: dts: exynos: correct TMU phandle in Odroid XU3 family ARM: dts: exynos: correct TMU phandle in Exynos4 ARM: dts: exynos: correct TMU phandle in Exynos4210 ARM: dts: qcom: sdx55: Add Qcom SMMU-500 as the fallback for IOMMU node ARM: dts: qcom: sdx65: Add Qcom SMMU-500 as the fallback for IOMMU node hwmon: (nct6775) Fix incorrect parenthesization in nct6775_write_fan_div() hwmon: (peci/cputemp) Fix off-by-one in coretemp_label allocation dm flakey: fix a bug with 32-bit highmem systems dm flakey: don't corrupt the zero page dm cache: free background tracker's queued work in btracker_destroy dm flakey: fix logic when corrupting a bio thermal: intel: powerclamp: Fix cur_state for multi package system qede: fix interrupt coalescing configuration cpuidle: add ARCH_SUSPEND_POSSIBLE dependencies wifi: cfg80211: Set SSID if it is not already set wifi: cfg80211: Fix use after free for wext wifi: ath11k: allow system suspend to survive ath11k wifi: rtl8xxxu: Use a longer retry limit of 48 wifi: rtw88: use RTW_FLAG_POWERON flag to prevent to power on/off twice dm: add cond_resched() to dm_wq_requeue_work() dm: add cond_resched() to dm_wq_work() dm: send just one event on resize, not two mtd: spi-nor: Fix shift-out-of-bounds in spi_nor_set_erase_type mtd: spi-nor: spansion: Consider reserved bits in CFR5 register mtd: spi-nor: sfdp: Fix index value for SCCR dwords cxl/pmem: Fix nvdimm registration races ext4: refuse to create ea block when umounted ext4: optimize ea_inode block expansion jbd2: fix data missing when reusing bh which is ready to be checkpointed ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC ALSA: ice1712: Do not left ice->gpio_mutex locked in aureon_add_controls() mm/damon/paddr: fix missing folio_put() crypto: qat - fix out-of-bounds read irqdomain: Fix domain registration race irqdomain: Fix mapping-creation race irqdomain: Refactor __irq_domain_alloc_irqs() irqdomain: Drop bogus fwspec-mapping error handling irqdomain: Look for existing mapping only once irqdomain: Fix disassociation race irqdomain: Fix association race selftests: seccomp: Fix incorrect kernel headers search path selftests: vm: Fix incorrect kernel headers search path selftests: dmabuf-heaps: Fix incorrect kernel headers search path selftests: drivers: Fix incorrect kernel headers search path selftests: futex: Fix incorrect kernel headers search path selftests: ipc: Fix incorrect kernel headers search path selftests: perf_events: Fix incorrect kernel headers search path selftests: mount_setattr: Fix incorrect kernel headers search path selftests: move_mount_set_group: Fix incorrect kernel headers search path selftests: rseq: Fix incorrect kernel headers search path selftests: sync: Fix incorrect kernel headers search path selftests: ptp: Fix incorrect kernel headers search path selftests: user_events: Fix incorrect kernel headers search path selftests: filesystems: Fix incorrect kernel headers search path selftests: gpio: Fix incorrect kernel headers search path selftests: media_tests: Fix incorrect kernel headers search path selftests: kcmp: Fix incorrect kernel headers search path selftests: membarrier: Fix incorrect kernel headers search path selftests: pidfd: Fix incorrect kernel headers search path selftests: clone3: Fix incorrect kernel headers search path selftests: arm64: Fix incorrect kernel headers search path selftests: pid_namespace: Fix incorrect kernel headers search path selftests: core: Fix incorrect kernel headers search path selftests: sched: Fix incorrect kernel headers search path selftests/ftrace: Fix eprobe syntax test case to check filter support selftests/powerpc: Fix incorrect kernel headers search path ima: Align ima_file_mmap() parameters with mmap_file LSM hook ima: fix error handling logic when file measurement failed brd: check for REQ_NOWAIT and set correct page allocation mask brd: return 0/-error from brd_insert_page() brd: mark as nowait compatible virt/sev-guest: Return -EIO if certificate buffer is not large enough Documentation/hw-vuln: Document the interaction between IBRS and STIBP x86/speculation: Allow enabling STIBP with legacy IBRS x86/microcode/AMD: Fix mixed steppings support x86/microcode/AMD: Add a @cpu parameter to the reloading functions x86/microcode/amd: Remove load_microcode_amd()'s bsp parameter x86/kprobes: Fix arch_check_optimized_kprobe check within optimized_kprobe range x86/kprobes: Fix __recover_optprobed_insn check optimizing logic x86/reboot: Disable SVM, not just VMX, when stopping CPUs x86/reboot: Disable virtualization in an emergency if SVM is supported x86/crash: Disable virt in core NMI crash handler to avoid double shootdown x86/virt: Force GIF=1 prior to disabling SVM (for reboot flows) selftests: x86: Fix incorrect kernel headers search path KVM: SVM: hyper-v: placate modpost section mismatch error KVM: SVM: Fix potential overflow in SEV's send|receive_update_data() KVM: x86: Inject #GP on x2APIC WRMSR that sets reserved bits 63:32 KVM: x86: Inject #GP if WRMSR sets reserved bits in APIC Self-IPI KVM: SVM: Don't put/load AVIC when setting virtual APIC mode KVM: SVM: Process ICR on AVIC IPI delivery failure due to invalid target KVM: SVM: Flush the "current" TLB when activating AVIC KVM: x86: Don't inhibit APICv/AVIC if xAPIC ID mismatch is due to 32-bit ID KVM: x86: Don't inhibit APICv/AVIC on xAPIC ID "change" if APIC is disabled KVM: x86: Blindly get current x2APIC reg value on "nodecode write" traps KVM: x86: Purge "highest ISR" cache when updating APICv state KVM: Register /dev/kvm as the _very_ last thing during initialization KVM: VMX: Fix crash due to uninitialized current_vmcs KVM: Destroy target device if coalesced MMIO unregistration fails RDMA/siw: Fix user page pinning accounting md: don't update recovery_cp when curr_resync is ACTIVE udf: Fix file corruption when appending just after end of preallocated extent udf: Detect system inodes linked into directory hierarchy udf: Preserve link count of system files udf: Do not update file length for failed writes to inline files udf: Do not bother merging very long extents udf: Truncate added extents on failed expansion selftests/landlock: Test ptrace as much as possible with Yama selftests/landlock: Skip overlayfs tests when not supported fs/cramfs/inode.c: initialize file_ra_state ocfs2: fix non-auto defrag path not working issue ocfs2: fix defrag path triggering jbd2 ASSERT f2fs: fix kernel crash due to null io->bio f2fs: fix cgroup writeback accounting with fs-layer encryption f2fs: retry to update the inode page given data corruption f2fs: fix information leak in f2fs_move_inline_dirents() fs: dlm: send FIN ack back in right cases fs: dlm: move sending fin message into state change handling fs: dlm: don't set stop rx flag after node reset exfat: fix inode->i_blocks for non-512 byte sector size device exfat: redefine DIR_DELETED as the bad cluster number exfat: fix unexpected EOF while reading dir exfat: fix reporting fs error when reading dir beyond EOF fs: hfsplus: fix UAF issue in hfsplus_put_super hfs: fix missing hfs_bnode_get() in __hfs_bnode_create io_uring: mark task TASK_RUNNING before handling resume/task work ARM: dts: exynos: correct HDMI phy compatible in Exynos4 torture: Fix hang during kthread shutdown phase ksmbd: fix possible memory leak in smb2_lock() ksmbd: do not allow the actual frame length to be smaller than the rfc1002 length ksmbd: fix wrong data area length for smb2 lock request locking/rwsem: Prevent non-first waiter from spinning in down_write() slowpath btrfs: hold block group refcount during async discard scsi: mpi3mr: Remove unnecessary memcpy() to alltgt_info->dmi scsi: mpi3mr: Fix issues in mpi3mr_get_all_tgt_info() scsi: mpi3mr: Fix missing mrioc->evtack_cmds initialization cifs: return a single-use cfid if we did not get a lease cifs: Check the lease context if we actually got a lease cifs: don't try to use rdma offload on encrypted connections cifs: split out smb3_use_rdma_offload() helper cifs: introduce cifs_io_parms in smb2_async_writev() cifs: fix mount on old smb servers cifs: Fix uninitialized memory reads for oparms.mode cifs: Fix uninitialized memory read in smb3_qfs_tcon() KVM: s390: disable migration mode when dirty tracking is disabled s390/kprobes: fix current_kprobe never cleared after kprobes reenter s390/kprobes: fix irq mask clobbering on kprobe reenter from post_handler s390: discard .interp section s390/extmem: return correct segment type in __segment_load() io_uring: fix fget leak when fs don't support nowait buffered read io_uring: remove MSG_NOSIGNAL from recvmsg io_uring/rsrc: disallow multi-source reg buffers io_uring: add reschedule point to handle_tw_list() io_uring: add a conditional reschedule to the IOPOLL cancelation loop io_uring: handle TIF_NOTIFY_RESUME when checking for task_work io_uring: use user visible tail in io_uring_poll() io_uring: Replace 0-length array with flexible array ipmi_ssif: Rename idle state and check ipmi:ssif: resend_msg() cannot fail ipmi: ipmb: Fix the MODULE_PARM_DESC associated to 'retry_time_ms' rtc: pm8xxx: fix set-alarm race block: be a bit more careful in checking for NULL bdev while polling block: clear bio->bi_bdev when putting a bio back in the cache block: don't allow multiple bios for IOCB_NOWAIT issue firmware: coreboot: framebuffer: Ignore reserved pixel color bits scsi: mpt3sas: Remove usage of dma_get_required_mask() API wifi: rtl8xxxu: fixing transmisison failure for rtl8192eu driver core: fw_devlink: Avoid spurious error message drm/shmem-helper: Revert accidental non-GPL export cifs: prevent data race in smb2_reconnect() nfsd: don't hand out delegation on setuid files being opened for write nfsd: zero out pointers after putting nfsd_files on COPY setup error dm cache: add cond_resched() to various workqueue loops dm thin: add cond_resched() to various workqueue loops drm/amd/display: Disable HUBP/DPP PG on DCN314 for now drm: panel-orientation-quirks: Add quirk for Lenovo IdeaPad Duet 3 10IGL5 drm/amd/display: Enable P-state validation checks for DCN314 HID: logitech-hidpp: Don't restart communication if not necessary scsi: ufs: core: Fix device management cmd timeout flow scsi: snic: Fix memory leak with using debugfs_lookup() drm/amd/display: Do not commit pipe when updating DRR pinctrl: at91: use devm_kasprintf() to avoid potential leaks hwmon: (nct6775) B650/B660/X670 ASUS boards support hwmon: (nct6775) Directly call ASUS ACPI WMI method hwmon: (coretemp) Simplify platform device handling gfs2: Improve gfs2_make_fs_rw error handling drm/amd/display: fix FCLK pstate change underflow Revert "drm/amdgpu: TA unload messages are not actually sent to psp when amdgpu is uninstalled" regulator: s5m8767: Bounds check id indexing into arrays regulator: max77802: Bounds check regulator id against opmode ASoC: kirkwood: Iterate over array indexes instead of using pointer math ASoC: soc-compress: Reposition and add pcm_mutex drm/msm/dpu: Add DSC hardware blocks to register snapshot docs/scripts/gdb: add necessary make scripts_gdb step habanalabs: fix bug in timestamps registration code habanalabs: extend fatal messages to contain PCI info drm/amd/display: Set hvm_enabled flag for S/G mode drm/drm_print: correct format problem drm: rcar-du: Fix setting a reserved bit in DPLLCR drm: rcar-du: Add quirk for H3 ES1.x pclk workaround drm/msm/dsi: Add missing check for alloc_ordered_workqueue HID: uclogic: Add support for XP-PEN Deco Pro MW HID: uclogic: Add support for XP-PEN Deco Pro SW HID: uclogic: Add battery quirk HID: uclogic: Add frame type quirk drm/amd/display: fix mapping to non-allocated address drm: amd: display: Fix memory leakage drm/amd: Avoid ASSERT for some message failures Revert "fbcon: don't lose the console font across generic->chip driver switch" scsi: lpfc: Fix use-after-free KFENCE violation during sysfs firmware write drm/amdkfd: Page aligned memory reserve size drm/amd: Avoid BUG() for case of SRIOV missing IP version drm/radeon: free iio for atombios when driver shutdown drm/amd/display: Defer DIG FIFO disable after VID stream enable drm/tiny: ili9486: Do not assume 8-bit only SPI controllers HID: Add Mapping for System Microphone Mute drm/omap: dsi: Fix excessive stack usage drm/amd/display: Fix potential null-deref in dm_resume drm/amd/display: Revert Reduce delay when sink device not able to ACK 00340h write drm/amd/display: Reduce expected sdp bandwidth for dcn321 drm: panel-orientation-quirks: Add quirk for DynaBook K50 drm: panel-orientation-quirks: Add quirk for Lenovo Yoga Tab 3 X90F scm: add user copy checks to put_cmsg() devlink: Fix TP_STRUCT_entry in trace of devlink health report s390/kfence: fix page fault reporting hv_netvsc: Check status in SEND_RNDIS_PKT completion message wifi: rtw89: debug: avoid invalid access on RTW89_DBG_SEL_MAC_30 Bluetooth: btusb: Add VID:PID 13d3:3529 for Realtek RTL8821CE Bluetooth: btusb: Add new PID/VID 0489:e0f2 for MT7921 Bluetooth: Fix issue with Actions Semi ATS2851 based devices PM: EM: fix memory leak with using debugfs_lookup() PM: domains: fix memory leak with using debugfs_lookup() time/debug: Fix memory leak with using debugfs_lookup() s390/idle: mark arch_cpu_idle() noinstr uaccess: Add minimum bounds check on kernel buffer size coda: Avoid partial allocation of sig_inputArgs net/mlx5: fw_tracer: Fix debug print ACPI: video: Fix Lenovo Ideapad Z570 DMI match wifi: mt76: dma: free rx_head in mt76_dma_rx_cleanup tools/power/x86/intel-speed-select: Add Emerald Rapid quirk gcc-plugins: drop -std=gnu++11 to fix GCC 13 build can: isotp: check CAN address family in isotp_bind() netfilter: nf_tables: NULL pointer dereference in nf_tables_updobj() s390/mm,ptdump: avoid Kasan vs Memcpy Real markers swapping m68k: Check syscall_trace_enter() return code net: bcmgenet: Add a check for oversized packets crypto: hisilicon: Wipe entire pool on error clocksource: Suspend the watchdog temporarily when high read latency detected thermal: intel: intel_pch: Add support for Wellsburg PCH bpf, docs: Fix modulo zero, division by zero, overflow, and underflow ACPI: Don't build ACPICA with '-Os' ice: add missing checks for PF vsi type ice: restrict PTP HW clock freq adjustments to 100, 000, 000 PPB inet: fix fast path in __inet_hash_connect() wifi: mt7601u: fix an integer underflow wifi: brcmfmac: ensure CLM version is null-terminated to prevent stack-out-of-bounds bpftool: Always disable stack protection for BPF objects x86/bugs: Reset speculation control settings on init timers: Prevent union confusion from unexpected restart_syscall() thermal: intel: Fix unsigned comparison with less than zero wifi: ath11k: debugfs: fix to work with multiple PCI devices rcu-tasks: Handle queue-shrink/callback-enqueue race condition rcu-tasks: Make rude RCU-Tasks work well with CPU hotplug srcu: Delegate work to the boot cpu if using SRCU_SIZE_SMALL rcu: Suppress smp_processor_id() complaint in synchronize_rcu_expedited_wait() rcu: Make RCU_LOCKDEP_WARN() avoid early lockdep checks wifi: brcmfmac: Fix potential stack-out-of-bounds in brcmf_c_preinit_dcmds() wifi: ath11k: fix monitor mode bringup crash wifi: ath9k: Fix use-after-free in ath9k_hif_usb_disconnect() perf/x86/intel/uncore: Add Meteor Lake support cpuidle: lib/bug: Disable rcu_is_watching() during WARN/BUG cpuidle: drivers: firmware: psci: Dont instrument suspend code x86/fpu: Don't set TIF_NEED_FPU_LOAD for PF_IO_WORKER threads cpuidle, intel_idle: Fix CPUIDLE_FLAG_INIT_XSTATE arm64: zynqmp: Enable hs termination flag for USB dwc3 controller btrfs: scrub: improve tree block error reporting trace/blktrace: fix memory leak with using debugfs_lookup() blk-cgroup: synchronize pd_free_fn() from blkg_free_workfn() and blkcg_deactivate_policy() blk-cgroup: dropping parent refcount after pd_free_fn() is done blk-iocost: fix divide by 0 error in calc_lcoefs() fs: Use CHECK_DATA_CORRUPTION() when kernel bugs are detected ARM: dts: exynos: Use Exynos5420 compatible for the MIPI video phy exit: Detect and fix irq disabled state in oops context_tracking: Fix noinstr vs KASAN udf: Define EFSCORRUPTED error code arm64: dts: qcom: msm8996: Add additional A2NoC clocks ARM: OMAP2+: omap4-common: Fix refcount leak bug rpmsg: glink: Release driver_override rpmsg: glink: Avoid infinite loop on intent for missing channel media: saa7134: Use video_unregister_device for radio_dev media: usb: siano: Fix use after free bugs caused by do_submit_urb media: i2c: ov7670: 0 instead of -EINVAL was returned media: atomisp: Only set default_run_mode on first open of a stream/asd media: rc: Fix use-after-free bugs caused by ene_tx_irqsim() media: drivers/media/v4l2-core/v4l2-h264 : add detection of null pointers media: amphion: correct the unspecified color space media: imx-jpeg: Apply clk_bulk api instead of operating specific clk media: hantro: Fix JPEG encoder ENUM_FRMSIZE on RK3399 media: v4l2-jpeg: ignore the unknown APP14 marker media: v4l2-jpeg: correct the skip count in jpeg_parse_app14_data media: platform: mtk-mdp3: fix Kconfig dependencies media: platform: mtk-mdp3: remove unused VIDEO_MEDIATEK_VPU config media: camss: csiphy-3ph: avoid undefined behavior media: platform: mtk-mdp3: Fix return value check in mdp_probe() media: i2c: imx219: Fix binning for RAW8 capture media: i2c: imx219: Split common registers from mode tables media: i2c: ov772x: Fix memleak in ov772x_probe() media: mc: Get media_device directly from pad media: ov5640: Handle delays when no reset_gpio set media: ov5640: Fix soft reset sequence and timings media: ov5675: Fix memleak in ov5675_init_controls() media: ov2740: Fix memleak in ov2740_init_controls() media: max9286: Fix memleak in max9286_v4l2_register() builddeb: clean generated package content s390/vdso: Drop '-shared' from KBUILD_CFLAGS_64 powerpc: Remove linker flag from KBUILD_AFLAGS media: imx: imx7-media-csi: fix missing clk_disable_unprepare() in imx7_csi_init() media: platform: ti: Add missing check for devm_regulator_get media: ti: cal: fix possible memory leak in cal_ctx_create() remoteproc: qcom_q6v5_mss: Use a carveout to authenticate modem headers Revert "remoteproc: qcom_q6v5_mss: map/unmap metadata region before/after use" IB/hfi1: Fix sdma.h tx->num_descs off-by-one errors IB/hfi1: Fix math bugs in hfi1_can_pin_pages() RDMA/rxe: Fix missing memory barriers in rxe_queue.h RDMA/rxe: cleanup some error handling in rxe_verbs.c iommu/vt-d: Allow to use flush-queue when first level is default iommu/vt-d: Fix error handling in sva enable/disable paths dmaengine: ptdma: check for null desc before calling pt_cmd_callback dmaengine: dw-axi-dmac: Do not dereference NULL structure dmaengine: sf-pdma: pdma_desc memory leak fix iommu/amd: Do not identity map v2 capable device when snp is enabled iommu: Fix error unwind in iommu_group_alloc() iw_cxgb4: Fix potential NULL dereference in c4iw_fill_res_cm_id_entry() PCI: qcom: Fix host-init error handling phy: rockchip-typec: fix tcphy_get_mode error case PCI: Fix dropping valid root bus resources with .end = zero dmaengine: dw-edma: Fix readq_ch() return value truncation usb: host: fsl-mph-dr-of: reuse device_set_of_node_from_dev mtd: mtdpart: Don't create platform device that'll never probe driver core: fw_devlink: Make cycle detection more robust driver core: fw_devlink: Improve check for fwnode with no device/driver driver core: fw_devlink: Consolidate device link flag computation driver core: fw_devlink: Allow marking a fwnode link as being part of a cycle driver core: fw_devlink: Don't purge child fwnode's consumer links driver core: fw_devlink: Add DL_FLAG_CYCLE support to device links tty: serial: imx: disable Ageing Timer interrupt request irq tty: serial: imx: Handle RS485 DE signal active high serial: fsl_lpuart: fix RS485 RTS polariy inverse issue RDMA/irdma: Cap MSIX used to online CPUs + 1 usb: max-3421: Fix setting of I/O pins RDMA/cxgb4: Fix potential null-ptr-deref in pass_establish() power: supply: remove faulty cooling logic iommu/vt-d: Set No Execute Enable bit in PASID table entry iommu/dart: Fix apple_dart_device_group for PCI groups iommu: dart: Support >64 stream IDs iommu: dart: Add suspend/resume support PCI: mt7621: Delay phy ports initialization phy: mediatek: remove temporary variable @mask_ usb: gadget: configfs: Restrict symlink creation is UDC already binded usb: musb: mediatek: don't unregister something that wasn't registered RDMA/cxgb4: add null-ptr-check after ip_dev_find() tty: serial: fsl_lpuart: Fix the wrong RXWATER setting for rx dma case usb: early: xhci-dbc: Fix a potential out-of-bound memory access fpga: microchip-spi: rewrite status polling in a time measurable way fpga: microchip-spi: move SPI I/O buffers out of stack dmaengine: dw-edma: Fix missing src/dst address of interleaved xfers fotg210-udc: Add missing completion handler firmware: dmi-sysfs: Fix null-ptr-deref in dmi_sysfs_register_handle drivers: base: transport_class: fix resource leak when transport_add_device() fails drivers: base: transport_class: fix possible memory leak driver core: location: Free struct acpi_pld_info *pld before return false driver core: fix resource leak in device_add() misc: fastrpc: Fix an error handling path in fastrpc_rpmsg_probe() misc/mei/hdcp: Use correct macros to initialize uuid_le mei: pxp: Use correct macros to initialize uuid_le VMCI: check context->notify_page after call to get_user_pages_fast() to avoid GPF firmware: stratix10-svc: fix error handle while alloc/add device failed firmware: stratix10-svc: add missing gen_pool_destroy() in stratix10_svc_drv_probe() applicom: Fix PCI device refcount leak in applicom_init() eeprom: idt_89hpesx: Fix error handling in idt_init() Revert "char: pcmcia: cm4000_cs: Replace mdelay with usleep_range in set_protocol" serial: tegra: Add missing clk_disable_unprepare() in tegra_uart_hw_init() tty: serial: fsl_lpuart: clear LPUART Status Register in lpuart32_shutdown() tty: serial: fsl_lpuart: disable Rx/Tx DMA in lpuart32_shutdown() hwtracing: hisi_ptt: Only add the supported devices to the filters list PCI: endpoint: pci-epf-vntb: Add epf_ntb_mw_bar_clear() num_mws kernel-doc PCI: endpoint: pci-epf-vntb: Clean up kernel_doc warning PCI: switchtec: Return -EFAULT for copy_to_user() errors PCI/IOV: Enlarge virtfn sysfs name buffer usb: typec: intel_pmc_mux: Don't leak the ACPI device reference count coresight: cti: Add PM runtime call in enable_store coresight: cti: Prevent negative values of enable count coresight: etm4x: Fix accesses to TRCSEQRSTEVR and TRCSEQSTR media: uvcvideo: Refactor power_line_frequency_controls_limited media: uvcvideo: Refactor uvc_ctrl_mappings_uvcXX media: uvcvideo: Implement mask for V4L2_CTRL_TYPE_MENU media: uvcvideo: Check for INACTIVE in uvc_ctrl_is_accessible() alpha/boot/tools/objstrip: fix the check for ELF header kobject: Fix slab-out-of-bounds in fill_kobj_path() kobject: modify kobject_get_path() to take a const * driver core: fix potential null-ptr-deref in device_add() soundwire: cadence: Don't overflow the command FIFOs i2c: designware: fix i2c_dw_clk_rate() return size to be u32 usb: gadget: fusb300_udc: free irq on the error path in fusb300_probe() iio: light: tsl2563: Do not hardcode interrupt trigger type RDMA/hns: Fix refcount leak in hns_roce_mmap dmaengine: HISI_DMA should depend on ARCH_HISI RDMA/erdma: Fix refcount leak in erdma_mmap dmaengine: idxd: Set traffic class values in GRPCFG on DSA 2.0 mfd: pcf50633-adc: Fix potential memleak in pcf50633_adc_async_read() mfd: cs5535: Don't build on UML objtool: add UACCESS exceptions for __tsan_volatile_read/write perf tests stat_all_metrics: Change true workload to sleep workload for system wide check printf: fix errname.c list perf record: Fix segfault with --overwrite and --max-size selftests: use printf instead of echo -ne selftests/ftrace: Fix bash specific "==" operator selftests: find echo binary to use -ne options sparc: allow PM configs for sparc32 COMPILE_TEST perf tools: Fix auto-complete on aarch64 perf test bpf: Skip test if kernel-debuginfo is not present perf intel-pt: Do not try to queue auxtrace data on pipe perf inject: Use perf_data__read() for auxtrace tools/tracing/rtla: osnoise_hist: use total duration for average calculation leds: simatic-ipc-leds-gpio: Make sure we have the GPIO providing driver leds: is31fl319x: Wrap mutex_destroy() for devm_add_action_or_rest() leds: led-core: Fix refcount leak in of_led_get() perf llvm: Fix inadvertent file creation gfs2: jdata writepage fix cifs: use tcon allocation functions even for dummy tcon cifs: Fix warning and UAF when destroy the MR list cifs: Fix lost destroy smbd connection when MR allocate failed NFSD: copy the whole verifier in nfsd_copy_write_verifier nfsd: don't fsync nfsd_files on last close nfsd: fix courtesy client with deny mode handling in nfs4_upgrade_open NFSD: fix problems with cleanup on errors in nfsd4_copy nfsd: clean up potential nfsd_file refcount leaks in COPY codepath nfsd: fix race to check ls_layouts NFSD: fix leaked reference count of nfsd4_ssc_umount_item NFSD: enhance inter-server copy cleanup drm/shmem-helper: Fix locking for drm_gem_shmem_get_pages_sgt() ALSA: hda/hdmi: Register with vga_switcheroo on Dual GPU Macbooks hid: bigben_probe(): validate report count HID: bigben: use spinlock to safely schedule workers HID: bigben_worker() remove unneeded check on report_field HID: bigben: use spinlock to protect concurrent accesses ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not declared spi: synquacer: Fix timeout handling in synquacer_spi_transfer_one() NFS: fix disabling of swap nfs4trace: fix state manager flag printing dm: remove flush_scheduled_work() during local_exit() ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init hwmon: (mlxreg-fan) Return zero speed for broken fan spi: bcm63xx-hsspi: Fix multi-bit mode setting HID: logitech-hidpp: Hard-code HID++ 1.0 fast scroll support drm/amd/display: don't call dc_interrupt_set() for disabled crtcs ASoC: codecs: lpass: fix incorrect mclk rate ASoC: codecs: lpass: register mclk after runtime pm ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag ASoC: qcom: q6apm-dai: fix race condition while updating the position pointer ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already prepared HID: retain initial quirks set up when creating HID devices HID: multitouch: Add quirks for flipped axes scsi: aic94xx: Add missing check for dma_map_single() scsi: mpt3sas: Fix a memory leak drm/amdgpu: fix enum odm_combine_mode mismatch ALSA: hda: Fix the control element identification for multiple codecs hwmon: (ltc2945) Handle error case in ltc2945_value_store hwmon: (asus-ec-sensors) add missing mutex path regulator: tps65219: use generic set_bypass() ASoC: dt-bindings: meson: fix gx-card codec node regex ASoC: mchp-spdifrx: Fix uninitialized use of mr in mchp_spdifrx_hw_params() ASoC: rsnd: fixup #endif position regmap: apply reg_base and reg_downshift for single register ops dm: improve shrinker debug names ASoC: mchp-spdifrx: disable all interrupts in mchp_spdifrx_dai_remove() ASoC: mchp-spdifrx: fix controls that works with completion mechanism ASoC: mchp-spdifrx: fix return value in case completion times out ASoC: mchp-spdifrx: fix controls which rely on rsr register spi: dw_bt1: fix MUX_MMIO dependencies ASoC: topology: Properly access value coming from topology file gpio: vf610: connect GPIO label to dev name dt-bindings: display: mediatek: Fix the fallback for mediatek,mt8186-disp-ccorr ASoC: soc-compress.c: fixup private_data on snd_soc_new_compress() drm/mediatek: Clean dangling pointer on bind error path drm/mediatek: mtk_drm_crtc: Add checks for devm_kcalloc drm/mediatek: Drop unbalanced obj unref drm/mediatek: Use NULL instead of 0 for NULL pointer drm/mediatek: dsi: Reduce the time of dsi from LP11 to sending cmd drm/msm/dpu: set pdpu->is_rt_pipe early in dpu_plane_sspp_atomic_update() pinctrl: renesas: rzg2l: Fix configuring the GPIO pins as interrupts drm/tegra: firewall: Check for is_addr_reg existence in IMM check gpu: host1x: Don't skip assigning syncpoints to channels gpu: host1x: Fix mask for syncpoint increment register pinctrl: mediatek: Initialize variable *buf to zero pinctrl: mediatek: Initialize variable pullen and pullup to zero pinctrl: bcm2835: Remove of_node_put() in bcm2835_of_gpio_ranges_fallback() habanalabs: bugs fixes in timestamps buff alloc drm/msm/mdp5: Add check for kzalloc drm/msm/dpu: Add check for pstates drm/msm/dpu: Add check for cstate drm/msm: use strscpy instead of strncpy drm/msm/dpu: sc7180: add missing WB2 clock control scsi: ufs: exynos: Fix DMA alignment for PAGE_SIZE != 4096 drm/msm/dsi: Allow 2 CTRLs on v2.5.0 drm: exynos: dsi: Fix MIPI_DSI*_NO_* mode flags drm/mipi-dsi: Fix byte order of 16-bit DCS set/get brightness regulator: tps65219: use IS_ERR() to detect an error pointer drm/bridge: lt9611: pass a pointer to the of node drm/bridge: lt9611: fix clock calculation drm/bridge: lt9611: fix programming of video modes drm/bridge: lt9611: fix polarity programming drm/bridge: lt9611: fix HPD reenablement drm/bridge: lt9611: fix sleep mode setup drm/msm/dpu: Disallow unallocated resources to be returned drm/msm/gem: Add check for kmalloc drm/amdgpu: Use the sched from entity for amdgpu_cs trace ALSA: hda/ca0132: minor fix for allocation size drm/msm/adreno: Fix null ptr access in adreno_gpu_cleanup() drm/bridge: tc358767: Set default CLRSIPO count ASoC: fsl_sai: initialize is_dsp_mode flag scsi: qla2xxx: edif: Fix clang warning scsi: qla2xxx: Fix exchange oversubscription for management commands scsi: qla2xxx: Fix exchange oversubscription drm/panel-edp: fix name for IVO product id 854b drm/msm: clean event_thread->worker in case of an error drm/vc4: hdmi: Correct interlaced timings again drm/vc4: hvs: Fix colour order for xRGB1555 on HVS5 drm/vc4: hvs: Correct interrupt masking bit assignment for HVS5 drm/vc4: hvs: SCALER_DISPBKGND_AUTOHS is only valid on HVS4 drm/vc4: hvs: Set AXI panic modes pinctrl: rockchip: Fix refcount leak in rockchip_pinctrl_parse_groups pinctrl: stm32: Fix refcount leak in stm32_pctrl_get_irq_domain pinctrl: qcom: pinctrl-msm8976: Correct function names for wcss pins drm/msm/hdmi: Add missing check for alloc_ordered_workqueue drm/msm/dpu: check for null return of devm_kzalloc() in dpu_writeback_init() hwmon: (ftsteutates) Fix scaling of measurements drm/vc4: drop all currently held locks if deadlock happens gpu: ipu-v3: common: Add of_node_put() for reference returned by of_graph_get_port_by_id() drm: tidss: Fix pixel format definition drm/vc4: dpi: Fix format mapping for RGB565 drm/vkms: Fix null-ptr-deref in vkms_release() drm/vkms: Fix memory leak in vkms_init() drm/bridge: megachips: Fix error handling in i2c_register_driver() drm: mxsfb: DRM_MXSFB should depend on ARCH_MXS || ARCH_MXC drm: mxsfb: DRM_IMX_LCDIF should depend on ARCH_MXC drm/bridge: ti-sn65dsi83: Fix delay after reset deassert to match spec drm/fourcc: Add missing big-endian XRGB1555 and RGB565 formats drm: Fix potential null-ptr-deref due to drmm_mode_config_init() sefltests: netdevsim: wait for devlink instance after netns removal selftest: fib_tests: Always cleanup before exit net/mlx4_en: Introduce flexible array to silence overflow warning net: lan966x: Fix possible deadlock inside PTP net: bcmgenet: fix MoCA LED control l2tp: Avoid possible recursive deadlock in l2tp_tunnel_register() selftests/net: Interpret UDP_GRO cmsg data as an int value net/smc: fix application data exception net/smc: fix potential panic dues to unprotected smc_llc_srv_add_link() irqchip/irq-bcm7120-l2: Set IRQ_LEVEL for level triggered interrupts irqchip/irq-brcmstb-l2: Set IRQ_LEVEL for level triggered interrupts bpf: Fix global subprog context argument resolution logic LoongArch, bpf: Use 4 instructions for function address in JIT xsk: check IFF_UP earlier in Tx path can: esd_usb: Make use of can_change_state() and relocate checking skb for NULL can: esd_usb: Move mislocated storage of SJA1000_ECC_SEG bits in case of a bus error selftests/bpf: Fix xdp_do_redirect on s390x bpf: Zeroing allocated object from slab in bpf memory allocator wifi: mac80211: pass 'sta' to ieee80211_rx_data_set_sta() selftests/bpf: Fix map_kptr test. thermal/drivers/hisi: Drop second sensor hi3660 tools/lib/thermal: Fix thermal_sampling_exit() wifi: mac80211: fix off-by-one link setting wifi: mac80211: avoid u32_encode_bits() warning wifi: mac80211: Don't translate MLD addresses for multicast wifi: mac80211: fix non-MLO station association wifi: mac80211: make rate u32 in sta_set_rate_info_rx() wifi: mac80211: move color collision detection report in a delayed work crypto: crypto4xx - Call dma_unmap_page when done crypto: octeontx2 - Fix objects shared between several modules ACPI: resource: Do IRQ override on all TongFang GMxRGxx ACPI: resource: Add IRQ overrides for MAINGEAR Vector Pro 2 models selftests/bpf: Fix out-of-srctree build wifi: mwifiex: fix loop iterator in mwifiex_update_ampdu_txwinsize() wifi: iwl4965: Add missing check for create_singlethread_workqueue() wifi: iwl3945: Add missing check for create_singlethread_workqueue clocksource/drivers/riscv: Patch riscv_clock_next_event() jump before first use RISC-V: time: initialize hrtimer based broadcast clock event device m68k: /proc/hardware should depend on PROC_FS crypto: rsa-pkcs1pad - Use akcipher_request_complete rds: rds_rm_zerocopy_callback() correct order for list_add_tail() xen/grant-dma-iommu: Implement a dummy probe_device() callback libbpf: Fix alen calculation in libbpf_nla_dump_errormsg() s390/ap: fix status returned by ap_qact() s390/ap: fix status returned by ap_aqic() s390: vfio-ap: tighten the NIB validity check net: ipa: generic command param fix Bluetooth: hci_qca: get wakeup status from serdev device handle Bluetooth: L2CAP: Fix potential user-after-free Bluetooth: hci_conn: Refactor hci_bind_bis() since it always succeeds cpufreq: davinci: Fix clk use after free OPP: fix error checking in opp_migrate_dentry() tap: tap_open(): correctly initialize socket uid tun: tun_chr_open(): correctly initialize socket uid net: add sock_init_data_uid() s390/boot: fix mem_detect extended area allocation s390/mem_detect: rely on diag260() if sclp_early_get_memsize() fails s390/boot: cleanup decompressor header files s390/vmem: fix empty page tables cleanup under KASAN s390/mem_detect: fix detect_memory() error handling irqchip/ti-sci: Fix refcount leak in ti_sci_intr_irq_domain_probe irqchip/irq-mvebu-gicp: Fix refcount leak in mvebu_gicp_probe irqchip/alpine-msi: Fix refcount leak in alpine_msix_init_domains irqchip: Fix refcount leak in platform_irqchip_probe net/mlx5: Enhance debug print in page allocation failure wifi: mt76: mt7921: fix error code of return in mt7921_acpi_read wifi: mt76: add memory barrier to SDIO queue kick wifi: mt76: mt7915: fix WED TxS reporting wifi: mt76: mt7915: fix memory leak in mt7915_mcu_exit wifi: mt76: mt7915: call mt7915_mcu_set_thermal_throttling() only after init_work bpftool: profile online CPUs instead of possible crypto: ccp - Flush the SEV-ES TMR memory before giving it to firmware selftests/bpf: Initialize tc in xdp_synproxy can: rcar_canfd: Fix R-Car V3U GAFLCFG field accesses kselftest/arm64: Fix enumeration of systems without 128 bit SME wifi: iwlwifi: mei: fix compilation errors in rfkill() s390/bpf: Add expoline to tail calls leds: led-class: Add missing put_device() to led_put() crypto: xts - Handle EBUSY correctly selftests/bpf: Fix vmtest static compilation error selftests/bpf: Use consistent build-id type for liburandom_read.so x86/microcode: Adjust late loading result reporting message x86/microcode: Check CPU capabilities after late microcode update correctly x86/microcode: Add a parameter to microcode_check() to store CPU capabilities powercap: fix possible name leak in powercap_register_zone() crypto: seqiv - Handle EBUSY correctly crypto: essiv - Handle EBUSY correctly crypto: ccp - Failure on re-initialization due to duplicate sysfs filename selftests/bpf: Fix build errors if CONFIG_NF_CONNTRACK=m ACPI: battery: Fix missing NUL-termination with large strings wifi: cfg80211: Fix extended KCK key length check in nl80211_set_rekey_data() wifi: ath11k: Fix memory leak in ath11k_peer_rx_frag_setup wifi: ath9k: Fix potential stack-out-of-bounds write in ath9k_wmi_rsp_callback() wifi: ath9k: hif_usb: clean up skbs if ath9k_hif_usb_rx_stream() fails wifi: ath9k: htc_hst: free skb in ath9k_htc_rx_msg() if there is no callback function thermal/drivers/imx_sc_thermal: Fix the loop condition thermal/drivers/imx_sc_thermal: Drop empty platform remove function wifi: orinoco: check return value of hermes_write_wordrec() wifi: rtl8xxxu: Fix memory leaks with RTL8723BU, RTL8192EU wifi: rtw89: Add missing check for alloc_workqueue wifi: rtw89: fix potential leak in rtw89_append_probe_req_ie() thermal/drivers/tsens: limit num_sensors to 9 for msm8939 thermal/drivers/tsens: fix slope values for msm8939 thermal/drivers/tsens: Sort out msm8976 vs msm8956 data thermal/drivers/tsens: Drop msm8976-specific defines x86/signal: Fix the value returned by strict_sas_size() s390/vfio-ap: fix an error handling path in vfio_ap_mdev_probe_queue() s390/early: fix sclp_early_sccb variable lifetime workqueue: Protects wq_unbound_cpumask with wq_pool_attach_mutex kselftest/arm64: Fix syscall-abi for systems without 128 bit SME arm64/cpufeature: Fix field sign for DIT hwcap detection selftests/xsk: print correct error codes when exiting selftests/xsk: print correct payload for packet dump ACPICA: nsrepair: handle cases without a return value correctly platform/chrome: cros_ec_typec: Update port DP VDO crypto: ccp - Avoid page allocation failure warning for SEV_GET_ID2 lib/mpi: Fix buffer overrun when SG is too long rcu-tasks: Fix synchronize_rcu_tasks() VS zap_pid_ns_processes() rcu-tasks: Remove preemption disablement around srcu_read_[un]lock() calls rcu-tasks: Improve comments explaining tasks_rcu_exit_srcu purpose genirq: Fix the return type of kstat_cpu_irqs_sum() ACPICA: Drop port I/O validation for some regions crypto: x86/ghash - fix unaligned access in ghash_setkey() libbpf: Fix invalid return address register in s390 wifi: wl3501_cs: don't call kfree_skb() under spin_lock_irqsave() wifi: libertas: cmdresp: don't call kfree_skb() under spin_lock_irqsave() wifi: libertas: main: don't call kfree_skb() under spin_lock_irqsave() wifi: libertas: if_usb: don't call kfree_skb() under spin_lock_irqsave() wifi: libertas_tf: don't call kfree_skb() under spin_lock_irqsave() wifi: brcmfmac: unmap dma buffer in brcmf_msgbuf_alloc_pktid() wifi: brcmfmac: fix potential memory leak in brcmf_netdev_start_xmit() wifi: wilc1000: add missing unregister_netdev() in wilc_netdev_ifc_init() wifi: wilc1000: fix potential memory leak in wilc_mac_xmit() wifi: ipw2200: fix memory leak in ipw_wdev_init() wifi: ipw2x00: don't call dev_kfree_skb() under spin_lock_irqsave() libbpf: Fix btf__align_of() by taking into account field offsets wifi: rtlwifi: Fix global-out-of-bounds bug in _rtl8812ae_phy_set_txpower_limit() wifi: rtw89: 8852c: rfk: correct DPK settings wifi: rtw89: 8852c: rfk: correct DACK setting wifi: rtl8xxxu: don't call dev_kfree_skb() under spin_lock_irqsave() wifi: libertas: fix memory leak in lbs_init_adapter() wifi: iwlegacy: common: don't call dev_kfree_skb() under spin_lock_irqsave() wifi: rtlwifi: rtl8723be: don't call kfree_skb() under spin_lock_irqsave() wifi: rtlwifi: rtl8188ee: don't call kfree_skb() under spin_lock_irqsave() wifi: rtlwifi: rtl8821ae: don't call kfree_skb() under spin_lock_irqsave() wifi: rsi: Fix memory leak in rsi_coex_attach() wifi: mt76: fix coverity uninit_use_in_call in mt76_connac2_reverse_frag0_hdr_trans() wifi: mt76: mt7915: fix unintended sign extension of mt7915_hw_queue_read() wifi: mt76: mt7915: drop always true condition of __mt7915_reg_addr() wifi: mt76: mt7915: check return value before accessing free_block_num wifi: mt76: mt7921s: fix slab-out-of-bounds access in sdio host wifi: mt76: mt7915: add missing of_node_put() block: use proper return value from bio_failfast() block: bio-integrity: Copy flags when bio_integrity_payload is cloned block: Fix io statistics for cgroup in throttle path block: sync mixed merged request's failfast with 1st bio's erofs: relinquish volume with mutex held arm64: dts: qcom: pmk8350: Use the correct PON compatible arm64: dts: qcom: pmk8350: Specify PBS register for PON block: ublk: check IO buffer based on flag need_get_data KEYS: asymmetric: Fix ECDSA use via keyctl uapi x86/perf/zhaoxin: Add stepping check for ZXC perf/x86/intel/ds: Fix the conversion from TSC to perf time sched/rt: pick_next_rt_entity(): check list_entry s390/dasd: Fix potential memleak in dasd_eckd_init() arm64: dts: qcom: msm8992-lg-bullhead: Enable regulators arm64: dts: qcom: msm8992-*: Fix up comments arm64: dts: qcom: msm8953: correct TLMM gpio-ranges arm64: dts: qcom: msm8992-lg-bullhead: Correct memory overlaps with the SMEM and MPSS memory regions arm64: dts: qcom: sm8450: drop incorrect cells from serial arm64: dts: qcom: sm8350: drop incorrect cells from serial arm64: dts: qcom: msm8996 switch from RPM_SMD_BB_CLK1 to RPM_SMD_XO_CLK_SRC arm64: dts: qcom: msm8996: support using GPLL0 as kryocc input blk-mq: correct stale comment of .get_budget blk-mq: Fix potential io hung for shared sbitmap per tagset blk-mq: wait on correct sbitmap_queue in blk_mq_mark_tag_wait blk-mq: remove stale comment for blk_mq_sched_mark_restart_hctx blk-mq: avoid sleep in blk_mq_alloc_request_hctx ARM: dts: stm32: Update part number NVMEM description on stm32mp131 arm64: dts: mediatek: mt7986: Fix watchdog compatible arm64: dts: mediatek: mt8195: Fix watchdog compatible arm64: dts: mediatek: mt8186: Fix watchdog compatible arm64: dts: mediatek: mt7622: Add missing pwm-cells to pwm node arm64: dts: mt8186: Fix CPU map for single-cluster SoC arm64: dts: mt8192: Fix CPU map for single-cluster SoC arm64: dts: mt8195: Fix CPU map for single-cluster SoC sbitmap: correct wake_batch recalculation to avoid potential IO hung sbitmap: Use single per-bitmap counting to wake up queued tags sbitmap: remove redundant check in __sbitmap_queue_get_batch ARM: dts: imx7s: correct iomuxc gpr mux controller cells ublk_drv: don't probe partitions if the ubq daemon isn't trusted ublk_drv: remove nr_aborted_queues from ublk_device ARM: dts: sun8i: nanopi-duo2: Fix regulator GPIO reference arm64: dts: meson: bananapi-m5: switch VDDIO_C pin to OPEN_DRAIN arm64: dts: meson: radxa-zero: allow usb otg mode arm64: dts: renesas: beacon-renesom: Fix gpio expander reference locking/rwsem: Disable preemption in all down_read*() and up_read() code paths arm64: dts: amlogic: meson-sm1-odroid-hc4: fix active fan thermal trip arm64: dts: amlogic: meson-gxbb-kii-pro: fix led node name arm64: dts: amlogic: meson-gxl-s905d-phicomm-n1: fix led node name arm64: dts: amlogic: meson-sm1-bananapi-m5: fix adc keys node names arm64: dts: amlogic: meson-gx-libretech-pc: fix update button name arm64: dts: amlogic: meson-gxl: add missing unit address to eth-phy-mux node name arm64: dts: amlogic: meson-axg-jethome-jethub-j1xx: fix invalid rtc node name arm64: dts: amlogic: meson-gxl-s905w-jethome-jethub-j80: fix invalid rtc node name arm64: dts: amlogic: meson-gx: add missing unit address to rng node name arm64: dts: amlogic: meson-gxl-s905d-sml5442tw: drop invalid clock-names property arm64: dts: amlogic: meson-axg-jethome-jethub-j1xx: fix supply name of USB controller node arm64: dts: amlogic: meson-gx: add missing SCPI sensors compatible arm64: dts: amlogic: meson-axg: fix SCPI clock dvfs node name arm64: dts: amlogic: meson-gx: fix SCPI clock dvfs node name ARM: imx: Call ida_simple_remove() for ida_simple_get ARM: dts: exynos: correct wr-active property in Exynos3250 Rinato arm64: dts: ti: k3-j7200: Fix wakeup pinmux range ARM: s3c: fix s3c64xx_set_timer_source prototype ARM: bcm2835_defconfig: Enable the framebuffer arm64: dts: mediatek: mt8192: Mark scp_adsp clock as broken ARM: OMAP1: call platform_device_put() in error case in omap1_dm_timer_init() arm64: dts: meson: remove CPU opps below 1GHz for G12A boards arm64: dts: qcom: ipq8074: correct PCIe QMP PHY output clock names arm64: dts: qcom: ipq8074: fix Gen3 PCIe node arm64: dts: qcom: ipq8074: correct Gen2 PCIe ranges arm64: dts: qcom: ipq8074: fix Gen3 PCIe QMP PHY arm64: dts: qcom: ipq8074: fix Gen2 PCIe QMP PHY arm64: dts: qcom: ipq8074: correct USB3 QMP PHY-s clock output names arm64: dts: qcom: msm8992-bullhead: Disable dfps_data_mem arm64: dts: qcom: msm8992-bullhead: Fix cont_splash_mem size arm64: dts: msm8992-bullhead: add memory hole region arm64: tegra: Fix duplicate regulator on Jetson TX1 arm64: dts: ti: k3-am62-main: Fix clocks for McSPI arm64: dts: ti: k3-am62: Enable SPI nodes at the board level cpuidle, intel_idle: Fix CPUIDLE_FLAG_IRQ_ENABLE *again* arm64: dts: meson-gx: Fix the SCPI DVFS node name and unit address arm64: dts: meson-g12a: Fix internal Ethernet PHY unit name arm64: dts: meson-gx: Fix Ethernet MAC address unit name arm64: dts: meson-axg: jethub-j1xx: Fix MAC address node names arm64: dts: meson-gxl: jethub-j80: Fix Bluetooth MAC node name arm64: dts: meson-gxl: jethub-j80: Fix WiFi MAC address node arm64: dts: qcom: sc8280xp: Vote for CX in USB controllers arm64: dts: qcom: sc8280xp: correct SPMI bus address cells arm64: dts: qcom: sc7280: correct SPMI bus address cells arm64: dts: qcom: sc7180: correct SPMI bus address cells x86/acpi/boot: Do not register processors that cannot be onlined for x2APIC arm64: dts: qcom: sdm845-db845c: fix audio codec interrupt pin name arm64: dts: mediatek: mt8186: Fix systimer 13 MHz clock description arm64: dts: mediatek: mt8195: Fix systimer 13 MHz clock description arm64: dts: mediatek: mt8192: Fix systimer 13 MHz clock description arm64: dts: mediatek: mt8183: Fix systimer 13 MHz clock description arm64: dts: mediatek: mt8195: Add power domain to U3PHY1 T-PHY ARM: zynq: Fix refcount leak in zynq_early_slcr_init arm64: dts: imx8m: Align SoC unique ID node unit address arm64: dts: qcom: sm6125-seine: Clean up gpio-keys (volume down) arm64: dts: qcom: sm6125: Reorder HSUSB PHY clocks to match bindings arm64: dts: qcom: sm6350: Fix up the ramoops node arm64: dts: qcom: sm8150-kumano: Panel framebuffer is 2.5k instead of 4k arm64: dts: qcom: msm8996-tone: Fix USB taking 6 minutes to wake up arm64: dts: qcom: qcs404: use symbol names for PCIe resets ARM: OMAP2+: Fix memory leak in realtime_counter_init() ata: ahci: Revert "ata: ahci: Add Tiger Lake UP{3,4} AHCI controller" powerpc/mm: Rearrange if-else block to avoid clang warning HID: asus: use spinlock to safely schedule workers HID: asus: use spinlock to protect concurrent accesses Linux 6.1.15 USB: core: Don't hold device lock while reading the "descriptors" sysfs file scripts/tags.sh: fix incompatibility with PCRE2 fs: use consistent setgid checks in is_sxid() attr: use consistent sgid stripping checks attr: add setattr_should_drop_sgid() fs: move should_remove_suid() attr: add in_group_or_capable() drm/amd/display: Properly reuse completion structure usb: typec: pd: Remove usb_suspend_supported sysfs from sink PDO arm64: dts: uniphier: Fix property name in PXs3 USB node usb: gadget: u_serial: Add null pointer check in gserial_resume USB: serial: option: add support for VW/Skoda "Carstick LTE" usb: dwc3: pci: add support for the Intel Meteor Lake-M drm/amd/display: Fix race condition in DPIA AUX transfer drm/amd/display: Move DCN314 DOMAIN power control to DMCUB vc_screen: don't clobber return value in vcs_read net: Remove WARN_ON_ONCE(sk->sk_forward_alloc) from sk_stream_kill_queues(). bpf: bpf_fib_lookup should not return neigh in NUD_FAILED state PM: sleep: Avoid using pr_cont() in the tasks freezing code x86/cpu: Add Lunar Lake M selftests: ocelot: tc_flower_chains: make test_vlan_ingress_modify() more comprehensive HID: Ignore battery for ELAN touchscreen 29DF on HP ASoC: codecs: es8326: Fix DTS properties reading HID: core: Fix deadloop in hid_apply_multiplier. neigh: make sure used and confirmed times are valid ARM: dts: stihxxx-b2120: fix polarity of reset line of tsin0 port ASoC: SOF: amd: Fix for handling spurious interrupts from DSP powerpc: Don't select ARCH_WANTS_NO_INSTR IB/hfi1: Assign npages earlier ASoC: rt715-sdca: fix clock stop prepare timeout issue arm64: dts: rockchip: align rk3399 DMC OPP table with bindings btrfs: send: limit number of clones and allocated memory size pinctrl: amd: Fix debug output for debounce time ACPI: NFIT: fix a potential deadlock during NFIT teardown HID: Ignore battery for Elan touchscreen on Asus TP420IA HID: elecom: add support for TrackBall 056E:011C arm64: dts: rockchip: fix probe of analog sound card on rock-3a arm64: dts: rockchip: add missing #interrupt-cells to rk356x pcie2x1 ARM: dts: rockchip: add power-domains property to dp node on rk3288 arm64: dts: rockchip: drop unused LED mode property from rk3328-roc-cc arm64: dts: rockchip: reduce thermal limits on rk3399-pinephone-pro Fix XFRM-I support for nested ESP tunnels Linux 6.1.14 bpf: add missing header file include randstruct: disable Clang 15 support ext4: Fix function prototype mismatch for ext4_feat_ktype platform/x86: nvidia-wmi-ec-backlight: Add force module parameter platform/x86/amd/pmf: Add depends on CONFIG_POWER_SUPPLY audit: update the mailing list in MAINTAINERS wifi: mwifiex: Add missing compatible string for SD8787 sh: define RUNTIME_DISCARD_EXIT s390: define RUNTIME_DISCARD_EXIT to fix link error with GNU ld < 2.36 powerpc/vmlinux.lds: Don't discard .rela* for relocatable builds powerpc/vmlinux.lds: Define RUNTIME_DISCARD_EXIT arch: fix broken BuildID for arm64 and riscv arm64: remove special treatment for the link order of head.o riscv: remove special treatment for the link order of head.o Bluetooth: btusb: Add more device IDs for WCN6855 x86/static_call: Add support for Jcc tail-calls x86/alternatives: Teach text_poke_bp() to patch Jcc.d32 instructions x86/alternatives: Introduce int3_emulate_jcc() uaccess: Add speculation barrier to copy_from_user() nfp: ethtool: fix the bug of setting unsupported port speed nfp: ethtool: support reporting link modes powerpc/64s/radix: Fix RWX mapping with relocated kernel selftests: kvm: move declaration at the beginning of main() KVM: x86: fix deadlock for KVM_XEN_EVTCHN_RESET drm/i915: Remove __maybe_unused from mtl_info spi: mediatek: Enable irq before the spi registration powerpc: dts: t208x: Disable 10G on MAC1 and MAC2 can: kvaser_usb: hydra: help gcc-13 to figure out cmd_len KVM: VMX: Execute IBPB on emulated VM-exit when guest has IBRS KVM: SVM: Skip WRMSR fastpath on VM-Exit if next RIP isn't valid KVM: x86: Fail emulation during EMULTYPE_SKIP on any exception docs: perf: Fix PMU instance name of hisi-pcie-pmu spi: mediatek: Enable irq when pdata is ready scsi: hisi_sas: Fix SATA devices missing issue during I_T nexus reset scsi: libsas: Add smp_ata_check_ready_type() random: always mix cycle counter in add_latent_entropy() sched/psi: Stop relying on timer_pending() for poll_work rescheduling clk: mxl: syscon_node_to_regmap() returns error pointers powerpc: dts: t208x: Mark MAC1 and MAC2 as 10G clk: mxl: Fix a clk entry by adding relevant flags clk: mxl: Add option to override gate clks clk: mxl: Remove redundant spinlocks clk: mxl: Switch from direct readl/writel based IO to regmap based IO drm/edid: Fix minimum bpc supported with DSC1.2 for HDMI sink wifi: rtl8xxxu: gen2: Turn on the rate control wifi: ath11k: fix warning in dma_free_coherent() of memory chunks while recovery drm/etnaviv: don't truncate physical page address Linux 6.1.13 net: sched: sch: Fix off by one in htb_activate_prios() ASoC: SOF: Intel: hda-dai: fix possible stream_tag leak nvme-pci: refresh visible attrs for cmb attributes alarmtimer: Prevent starvation by small intervals and SIG_IGN perf/x86: Refuse to export capabilities for hybrid PMUs kvm: initialize all of the kvm_debugregs structure before sending it to userspace KVM: x86/pmu: Disable vPMU support on hybrid CPUs (host PMUs) nvme-rdma: stop auth work after tearing down queues in error recovery nvme-tcp: stop auth work after tearing down queues in error recovery net/sched: tcindex: search key must be 16 bits i40e: Add checking for null for nlmsg_find_attr() mm: extend max struct page size for kmsan mm/gup: add folio to list when folio_isolate_lru() succeed ipv6: Fix tcp socket connection with DSCP. ipv6: Fix datagram socket connection with DSCP. ixgbe: add double of VLAN header when computing the max MTU igb: Fix PPS input and output using 3rd and 4th SDP igb: conditionalize I2C bit banging on external thermal sensor support net: mpls: fix stale pointer if allocation fails during device rename tipc: fix kernel warning when sending SYN message net: use a bounce buffer for copying skb->mark net: stmmac: Restrict warning on disabling DMA store and fwd mode tracing: Make trace_define_field_ext() static bnxt_en: Fix mqprio and XDP ring checking logic net: stmmac: fix order of dwmac5 FlexPPS parametrization sequence net: openvswitch: fix possible memory leak in ovs_meter_cmd_set() net/sched: act_ctinfo: use percpu stats net/usb: kalmia: Don't pass act_len in usb_bulk_msg error path dccp/tcp: Avoid negative sk_forward_alloc by ipv6_pinfo.pktoptions. ice: xsk: Fix cleaning of XDP_TX frames net/sched: tcindex: update imperfect hash filters respecting rcu sctp: sctp_sock_filter(): avoid list_entry() on possibly empty list net: ethernet: ti: am65-cpsw: Add RX DMA Channel Teardown Quirk net: bgmac: fix BCM5358 support by setting correct flags i40e: add double of VLAN header when computing the max MTU ixgbe: allow to increase MTU to 3K with XDP enabled ice: fix lost multicast packets in promisc mode drm/i915/gen11: Wa_1408615072/Wa_1407596294 should be on GT list drm/vc4: Fix YUV plane handling when planes are in different buffers drm/vc4: crtc: Increase setup cost in core clock calculation to handle extreme reduced blanking revert "squashfs: harden sanity check in squashfs_read_xattr_id_table" net: Fix unwanted sign extension in netdev_stats_to_stats64() Revert "mm: Always release pages to the buddy allocator in memblock_free_late()." coredump: Move dump_emit_page() to kill unused warning freezer,umh: Fix call_usermode_helper_exec() vs SIGKILL gpio: sim: fix a memory leak mm/migrate: fix wrongly apply write bit after mkdirty on sparc64 mm/filemap: fix page end in filemap_get_read_batch mm/MADV_COLLAPSE: set EAGAIN on unexpected page refcount nilfs2: fix underflow in second superblock position calculations hugetlb: check for undefined shift on 32 bit architectures sched/psi: Fix use-after-free in ep_remove_wait_queue() ata: libata-core: Disable READ LOG DMA EXT for Samsung MZ7LH ata: ahci: Add Tiger Lake UP{3,4} AHCI controller ALSA: hda/realtek: Enable mute/micmute LEDs and speaker support for HP Laptops ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. ALSA: hda/realtek - fixed wrong gpio assigned ALSA: hda/conexant: add a new hda codec SN6180 ALSA: hda: Fix codec device field initializan mmc: mmc_spi: fix error handling in mmc_spi_probe() mmc: sdio: fix possible resource leaks in some error paths mmc: meson-gx: fix SDIO mode if cap_sdio_irq isn't set mmc: jz4740: Work around bug on JZ4760(B) drm/vmwgfx: Do not drop the reference to the handle too soon drm/vmwgfx: Stop accessing buffer objects which failed init drm/amd/display: Fail atomic_check early on normalize_zpos error drm/amd/amdgpu: fix warning during suspend drm: Disable dynamic debug as broken fbdev: Fix invalid page access after closing deferred I/O devices vmxnet3: move rss code block under eop descriptor aio: fix mremap after fork null-deref mm: shrinkers: fix deadlock in shrinker debugfs kasan: fix Oops due to missing calls to kasan_arch_is_ready() of: reserved_mem: Have kmemleak ignore dynamically allocated reserved mem selftests: mptcp: userspace: fix v4-v6 test in v6.1 ceph: blocklist the kclient when receiving corrupted snap trace ceph: move mount state enum to super.h platform/x86: touchscreen_dmi: Add Chuwi Vi8 (CWI501) DMI match drm/amd/display: Properly handle additional cases where DCN is not supported drm/amdgpu: Enable vclk dclk node for gc11.0.3 drm/amdgpu: enable HDP SD for gfx 11.0.3 drm/amd/display: Reset DMUB mailbox SW state after HW reset drm/amd/display: Unassign does_plane_fit_in_mall function from dcn3.2 drm/amd/display: Adjust downscaling limits for dcn314 drm/amd/display: Add missing brackets in calculation nvme: clear the request_queue pointers on failure in nvme_alloc_io_tag_set nvme: clear the request_queue pointers on failure in nvme_alloc_admin_tag_set nvme-fc: fix a missing queue put in nvmet_fc_ls_create_association s390/decompressor: specify __decompress() buf len to avoid overflow net: sched: sch: Bounds check priority net: ethernet: mtk_eth_soc: Avoid truncating allocation drm/nouveau/devinit/tu102-: wait for GFW_BOOT_PROGRESS == COMPLETED fscache: Use clear_and_wake_up_bit() in fscache_create_volume_work() powerpc/64: Fix perf profiling asynchronous interrupt handlers net: stmmac: do not stop RX_CLK in Rx LPI state for qcs404 SoC selftest: net: Improve IPV6_TCLASS/IPV6_HOPLIMIT tests apparmor compatibility net/rose: Fix to not accept on connected socket vdpa: ifcvf: Do proper cleanup if IFCVF init fails tools/virtio: fix the vringh test for virtio ring changes ASoC: cs42l56: fix DT probe bpf, sockmap: Don't let sock_map_{close,destroy,unhash} call itself ASoC: amd: yc: Add Xiaomi Redmi Book Pro 15 2022 into DMI table ALSA: hda: Do not unset preset when cleaning up codec selftests/bpf: Verify copy_register_state() preserves parent/live fields ASoC: Intel: sof_ssp_amp: always set dpcm_capture for amplifiers ASoC: Intel: sof_nau8825: always set dpcm_capture for amplifiers ASoC: Intel: sof_cs42l42: always set dpcm_capture for amplifiers ASoC: Intel: sof_rt5682: always set dpcm_capture for amplifiers ALSA: usb-audio: Add FIXED_RATE quirk for JBL Quantum610 Wireless ASoC: SOF: sof-audio: start with the right widget type ASoC: amd: yc: Add DMI support for new acer/emdoor platforms btrfs: lock the inode in shared mode before starting fiemap btrfs: move the auto defrag code to defrag.c mptcp: fix locking for in-kernel listener creation mptcp: deduplicate error paths on endpoint creation mptcp: fix locking for setsockopt corner-case mptcp: sockopt: make 'tcp_fastopen_connect' generic Linux 6.1.12 Documentation/hw-vuln: Add documentation for Cross-Thread Return Predictions KVM: x86: Mitigate the cross-thread return address predictions bug x86/speculation: Identify processors vulnerable to SMT RSB predictions drm/i915: Fix VBT DSI DVO port handling drm/i915: Initialize the obj flags for shmem objects drm/i915: Move fd_install after last use of fence drm/amd/display: fix cursor offset on rotation 180 drm/amd/display: properly handling AGP aperture in vm setup drm/amdgpu/smu: skip pptable init under sriov drm/amdgpu/fence: Fix oops due to non-matching drm_sched init/fini drm/amd/pm: bump SMU 13.0.7 driver_if header version drm/amdgpu: Add unique_id support for GC 11.0.1/2 drm/amd/pm: bump SMU 13.0.0 driver_if header version arm64: efi: Force the use of SetVirtualAddressMap() on eMAG and Altra Max machines Fix page corruption caused by racy check in __free_pages arm64: dts: meson-axg: Make mmc host controller interrupts level-sensitive arm64: dts: meson-g12-common: Make mmc host controller interrupts level-sensitive arm64: dts: meson-gx: Make mmc host controller interrupts level-sensitive rtmutex: Ensure that the top waiter is always woken up tracing: Fix TASK_COMM_LEN in trace event format file drm/amdgpu: Use the TGID for trace_amdgpu_vm_update_ptes powerpc/64s/interrupt: Fix interrupt exit race with security mitigation switch riscv: kprobe: Fixup misaligned load text riscv: Fixup race condition on PG_dcache_clean in flush_icache_pte nvdimm: Support sizeof(struct page) > MAX_STRUCT_PAGE_SIZE ceph: flush cap releases when the session is flushed drm/amd/pm: add SMU 13.0.7 missing GetPptLimit message mapping pinctrl: qcom: sm8450-lpass-lpi: correct swr_rx_data group clk: ingenic: jz4760: Update M/N/OD calculation algorithm cxl/region: Fix passthrough-decoder detection cxl/region: Fix null pointer dereference for resetting decoder usb: typec: altmodes/displayport: Fix probe pin assign check usb: core: add quirk for Alcor Link AK9563 smartcard reader btrfs: free device in btrfs_close_devices for a single device filesystem btrfs: simplify update of last_dir_index_offset when logging a directory selftests: mptcp: stop tests earlier selftests: mptcp: allow more slack for slow test-case mptcp: be careful on subflow status propagation on errors mptcp: do not wait for bare sockets' timeout net: USB: Fix wrong-direction WARNING in plusb.c cifs: Fix use-after-free in rdata->read_into_pages() pinctrl: intel: Restore the pins that used to be in Direct IRQ mode pinctrl: aspeed: Revert "Force to disable the function's signal" spi: dw: Fix wrong FIFO level setting for long xfers pinctrl: single: fix potential NULL dereference pinctrl: aspeed: Fix confusing types in return value pinctrl: mediatek: Fix the drive register definition of some Pins clk: microchip: mpfs-ccc: Use devm_kasprintf() for allocating formatted strings ASoC: topology: Return -ENOMEM on memory allocation failure ASoC: fsl_sai: fix getting version from VERID ASoC: tas5805m: add missing page switch. ASoC: tas5805m: rework to avoid scheduling while atomic. arm64: dts: mediatek: mt8195: Fix vdosys* compatible strings riscv: stacktrace: Fix missing the first frame ALSA: pci: lx6464es: fix a debug loop arm64: dts: rockchip: set sdmmc0 speed to sd-uhs-sdr50 on rock-3a arm64: dts: rockchip: fix input enable pinconf on rk3399 selftests: forwarding: lib: quote the sysctl values net: mscc: ocelot: fix all IPv6 getting trapped to CPU when PTP timestamping is used rds: rds_rm_zerocopy_callback() use list_first_entry() selftests: Fix failing VXLAN VNI filtering test txhash: fix sk->sk_txrehash default net: ethernet: mtk_eth_soc: fix wrong parameters order in __xdp_rxq_info_reg() igc: Add ndo_tx_timeout support net/mlx5: Serialize module cleanup with reload and remove net/mlx5: fw_tracer, Zero consumer index when reloading the tracer net/mlx5: fw_tracer, Clear load bit when freeing string DBs buffers net/mlx5: Expose SF firmware pages counter net/mlx5: Store page counters in a single array net/mlx5e: IPoIB, Show unknown speed instead of error net/mlx5e: Fix crash unsetting rx-vlan-filter in switchdev mode net/mlx5: Bridge, fix ageing of peer FDB entries net/mlx5e: Update rx ring hw mtu upon each rx-fcs flag change net: mscc: ocelot: fix VCAP filters not matching on MAC with "protocol 802.1Q" net: dsa: mt7530: don't change PVC_EG_TAG when CPU port becomes VLAN-aware ice: switch: fix potential memleak in ice_add_adv_recipe() ice: Fix disabling Rx VLAN filtering with port VLAN enabled ice: Do not use WQ_MEM_RECLAIM flag for workqueue nvidiafb: detect the hardware support before removing console. cpuset: Call set_cpus_allowed_ptr() with appropriate mask for task drm/virtio: exbuf->fence_fd unmodified on interrupted wait drm/i915: Don't do the WM0->WM1 copy w/a if WM1 is already enabled HID: amd_sfh: if no sensors are enabled, clean up net: microchip: sparx5: fix PTP init/deinit not checking all ports uapi: add missing ip/ipv6 header dependencies for linux/stddef.h cpufreq: qcom-hw: Fix cpufreq_driver->get() for non-LMH systems ionic: missed doorbell workaround ionic: refactor use of ionic_rx_fill() ionic: clean interrupt before enabling queue to avoid credit race net: phy: meson-gxl: use MMD access dummy stubs for GXL, internal PHY net: macb: Perform zynqmp dynamic configuration only for SGMII interface bonding: fix error checking in bond_debug_reregister() net: phylink: move phy_device_free() to correctly release phy device of: Make OF framebuffer device names unique xfrm: fix bug with DSCP copy to v6 from v4 tunnel RDMA/usnic: use iommu_map_atomic() under spin_lock() RDMA/irdma: Fix potential NULL-ptr-dereference xfrm: annotate data-race around use_time IB/IPoIB: Fix legacy IPoIB due to wrong number of queues xfrm/compat: prevent potential spectre v1 gadget in xfrm_xlate32_attr() IB/hfi1: Restore allocated resources on failed copyout xfrm: compat: change expression for switch in xfrm_xlate64 HID: logitech: Disable hi-res scrolling on USB can: j1939: do not wait 250 ms if the same addr was already claimed of/address: Return an error when no valid dma-ranges are found tracing: Fix poll() and select() do not work on per_cpu trace_pipe and trace_pipe_raw Revert "PCI/ASPM: Refactor L1 PM Substates Control Register programming" Revert "PCI/ASPM: Save L1 PM Substates Capability for suspend/resume" ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform. ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41 ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook, 645 G9 ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy Book2 Pro 360 ALSA: emux: Avoid potential array out-of-bound in snd_emux_xg_control() ALSA: hda/realtek: Add Positivo N14KP6-TG btrfs: zlib: zero-initialize zlib workspace btrfs: limit device extents to the device size hv_netvsc: Allocate memory in netvsc_dma_map() with GFP_ATOMIC Linux 6.1.11 bpf: Skip invalid kfunc call in backtrack_insn gfs2: Always check inode size of inline inodes gfs2: Cosmetic gfs2_dinode_{in,out} cleanup wifi: brcmfmac: Check the count value of channel spec to prevent out-of-bounds reads f2fs: fix to do sanity check on i_extra_isize in is_alive() fbdev: smscufx: fix error handling code in ufx_usb_probe f2fs: initialize locks earlier in f2fs_fill_super() ovl: Use "buf" flexible array for memcpy() destination fs/ntfs3: Validate attribute data and valid sizes powerpc/imc-pmu: Revert nest_init_lock to being a mutex powerpc/64s: Fix local irq disable when PMIs are disabled powerpc/64s/radix: Fix crash with unaligned relocated kernel iio:adc:twl6030: Enable measurement of VAC ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL on sink failure platform/x86/amd: pmc: add CONFIG_SERIO dependency serial: 8250_dma: Fix DMA Rx rearm race serial: 8250_dma: Fix DMA Rx completion race phy: qcom-qmp-combo: fix runtime suspend nvmem: core: fix return value nvmem: core: fix cell removal on error nvmem: core: fix device node refcounting nvmem: core: fix registration vs use race nvmem: core: fix cleanup after dev_set_name() nvmem: core: remove nvmem_config wp_gpio nvmem: core: initialise nvmem->id early drm/amdgpu: update wave data type to 3 for gfx11 drm/amd/pm: drop unneeded dpm features disablement for SMU 13.0.4/11 drm/amd: Fix initialization for nbio 4.3.0 drm/i915: Fix potential bit_17 double-free drm/i915: Avoid potential vm use-after-free serial: stm32: Merge hard IRQ and threaded IRQ handling into single IRQ handler dma-buf: actually set signaling bit for private stub fences migrate: hugetlb: check for hugetlb shared PMD in node migration maple_tree: fix mas_empty_area_rev() lower bound validation Squashfs: fix handling and sanity checking of xattr_ids count ia64: fix build error due to switch case label appearing next to declaration highmem: round down the address passed to kunmap_flush_on_unmap() mm/MADV_COLLAPSE: catch !none !huge !bad pmd lookups mm, mremap: fix mremap() expanding for vma's with vm_ops->close() mm/khugepaged: fix ->anon_vma race mm/swapfile: add cond_resched() in get_swap_pages() mm/uffd: fix pte marker when fork() without fork event fpga: stratix10-soc: Fix return value check in s10_ops_write_init() fpga: m10bmc-sec: Fix probe rollback x86/debug: Fix stack recursion caused by wrongly ordered DR7 accesses HV: hv_balloon: fix memory leak with using debugfs_lookup() kernel/irq/irqdomain.c: fix memory leak with using debugfs_lookup() usb: gadget: udc: do not clear gadget driver.bus usb: typec: ucsi: Don't attempt to resume the ports before they exist usb: gadget: f_uac2: Fix incorrect increment of bNumEndpoints mm: memcg: fix NULL pointer in mem_cgroup_track_foreign_dirty_slowpath() mm: hugetlb: proc: check for hugetlb shared PMD in /proc/PID/smaps mm: multi-gen LRU: fix crash during cgroup migration Revert "mm: kmemleak: alloc gray object for reserved region with direct map" riscv: disable generation of unwind tables parisc: Wire up PTRACE_GETREGS/PTRACE_SETREGS for compat case parisc: Replace hardcoded value with PRIV_USER constant in ptrace.c parisc: Fix return code of pdc_iodc_print() nvmem: qcom-spmi-sdam: fix module autoloading nvmem: sunxi_sid: Always use 32-bit MMIO reads nvmem: brcm_nvram: Add check for kzalloc iio: imu: fxos8700: fix MAGN sensor scale and unit iio: imu: fxos8700: remove definition FXOS8700_CTRL_ODR_MIN iio: imu: fxos8700: fix failed initialization ODR mode assignment iio: imu: fxos8700: fix incorrect ODR mode readback iio: imu: fxos8700: fix swapped ACCEL and MAGN channels readback iio: imu: fxos8700: fix map label of channel type to MAGN sensor iio: imu: fxos8700: fix IMU data bits returned to user space iio: imu: fxos8700: fix incomplete ACCEL and MAGN channels readback iio: imu: fxos8700: fix ACCEL measurement range selection iio: light: cm32181: Fix PM support on system with 2 I2C resources iio:adc:twl6030: Enable measurements of VUSB, VBAT and others iio: imx8qxp-adc: fix irq flood when call imx8qxp_adc_read_raw() iio: adc: berlin2-adc: Add missing of_node_put() in error path iio: adc: xilinx-ams: fix devm_krealloc() return value check iio: hid: fix the retval in gyro_3d_capture_sample iio: hid: fix the retval in accel_3d_capture_sample rtc: efi: Enable SET/GET WAKEUP services as optional efi: Accept version 2 of memory attributes table ASoC: SOF: keep prepare/unprepare widgets in sink path ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0 ASoC: codecs: wsa883x: correct playback min/max rates ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work for a HP platform ALSA: hda/realtek: Add Acer Predator PH315-54 watchdog: diag288_wdt: fix __diag288() inline assembly watchdog: diag288_wdt: do not use stack buffers for hardware data can: isotp: handle wait_event_interruptible() return values can: isotp: split tx timer into transmission and timeout net: qrtr: free memory on error path in radix_tree_insert() ARM: dts: imx7d-smegw01: Fix USB host over-current polarity hv_netvsc: Fix missed pagebuf entries in netvsc_dma_map/unmap() cgroup/cpuset: Fix wrong check in update_parent_subparts_cpumask() fbcon: Check font dimension limits vc_screen: move load of struct vc_data pointer in vcs_read() to avoid UAF usb: gadget: f_fs: Fix unbalanced spinlock in __ffs_ep0_queue_wait usb: dwc3: qcom: enable vbus override when in OTG dr-mode iio: adc: stm32-dfsdm: fill module aliases bcache: Silence memcpy() run-time false positive warnings drm/amd/display: Fix timing not changning when freesync video is enabled net/x25: Fix to not accept on connected socket platform/x86/amd: pmc: Disable IRQ1 wakeup for RN/CZN platform/x86: gigabyte-wmi: add support for B450M DS3H WIFI-CF platform/x86: hp-wmi: Handle Omen Key event platform/x86: dell-wmi: Add a keymap for KEY_MUTE in type 0x0010 table x86/build: Move '-mindirect-branch-cs-prefix' out of GCC-only block Revert "gfs2: stop using generic_writepages in gfs2_ail1_start_one" i2c: rk3x: fix a bunch of kernel-doc warnings scsi: iscsi_tcp: Fix UAF during login when accessing the shost ipaddress scsi: iscsi_tcp: Fix UAF during logout when accessing the shost ipaddress perf/x86/intel/cstate: Add Emerald Rapids perf/x86/intel: Add Emerald Rapids x86/aperfmperf: Erase stale arch_freq_scale values when disabling frequency invariance readings scsi: target: core: Fix warning on RT kernels i2c: mxs: suppress probe-deferral error message i2c: designware-pci: Add new PCI IDs for AMD NAVI GPU kbuild: modinst: Fix build error when CONFIG_MODULE_SIG_KEY is a PKCS#11 URI certs: Fix build error when PKCS#11 URI contains semicolon rtc: sunplus: fix format string for printing resource efi: fix potential NULL deref in efi_mem_reserve_persistent net: openvswitch: fix flow memory leak in ovs_flow_cmd_new virtio-net: Keep stop() to follow mirror sequence of open() selftests: net: udpgso_bench_tx: Cater for pending datagrams zerocopy benchmarking selftests: net: udpgso_bench: Fix racing bug between the rx/tx programs selftests: net: udpgso_bench_rx/tx: Stop when wrong CLI args are provided selftests: net: udpgso_bench_rx: Fix 'used uninitialized' compiler warning ata: libata: Fix sata_down_spd_limit() when no link speed is reported can: mcp251xfd: mcp251xfd_ring_set_ringparam(): assign missing tx_obj_num_coalesce_irq can: raw: fix CAN FD frame transmissions over CAN XL devices can: j1939: fix errant WARN_ON_ONCE in j1939_session_deactivate octeontx2-af: Fix devlink unregister igc: return an error if the mac type is unknown in igc_ptp_systim_to_hwtstamp() riscv: kprobe: Fixup kernel panic when probing an illegal position ip/ip6_gre: Fix non-point-to-point tunnel not generating IPv6 link local address ip/ip6_gre: Fix changing addr gen mode not generating IPv6 link local address drm/panel: boe-tv101wum-nl6: Ensure DSI writes succeed during disable net: phy: meson-gxl: Add generic dummy stubs for MMD register access sctp: do not check hb_timer.expires when resetting hb_timer maple_tree: should get pivots boundary by type squashfs: harden sanity check in squashfs_read_xattr_id_table kunit: fix kunit_test_init_section_suites(...) block: ublk: extending queue_size to fix overflow netfilter: br_netfilter: disable sabotage_in hook after first suppression ALSA: firewire-motu: fix unreleased lock warning in hwdep device net/tls: tls_is_tx_ready() checked list_entry drm/i915/adlp: Fix typo for reference clock drm/i915: Fix up locking around dumping requests lists drm/i915: Fix request ref counting during error capture & debugfs dump drm/i915/guc: Fix locking when searching for a hung request platform/x86: thinkpad_acpi: Fix thinklight LED brightness returning 255 platform/x86/amd/pmf: Ensure mutexes are initialized before use platform/x86/amd/pmf: Fix to update SPS thermals when power supply change platform/x86/amd/pmf: Add helper routine to check pprof is balanced platform/x86/amd/pmf: Fix to update SPS default pprof thermals platform/x86/amd/pmf: Add helper routine to update SPS thermals platform/x86/amd/pmf: update to auto-mode limits only after AMT event fscache: Use wait_on_bit() to wait for the freeing of relinquished volume netrom: Fix use-after-free caused by accept on already connected socket block, bfq: fix uaf for bfqq in bic_set_bfqq() block, bfq: replace 0/1 with false/true in bic apis net: wwan: t7xx: Fix Runtime PM initialization net: phy: dp83822: Fix null pointer access on DP83825/DP83826 devices sfc: correctly advertise tunneled IPv6 segmentation skb: Do mix page pool and page referenced frags in GRO dpaa2-eth: execute xdp_do_flush() before napi_complete_done() dpaa_eth: execute xdp_do_flush() before napi_complete_done() virtio-net: execute xdp_do_flush() before napi_complete_done() qede: execute xdp_do_flush() before napi_complete_done() ice: Prevent set_channel from changing queues while RDMA active vhost-scsi: unbreak any layout for response use less confusing names for iov_iter direction initializers fix "direction" argument of iov_iter_kvec() fix 'direction' argument of iov_iter_{init,bvec}() fix iov_iter_bvec() "direction" argument memcpy_real(): WRITE is "data source", not destination... zcore: WRITE is "data source", not destination... READ is "data destination", not source... WRITE is "data source", not destination... copy_oldmem_kernel() - WRITE is "data source", not destination vhost/net: Clear the pending messages when the backend is removed ALSA: memalloc: Workaround for Xen PV bpf: Fix the kernel crash caused by bpf_setsockopt(). scsi: Revert "scsi: core: map PQ=1, PDT=other values to SCSI_SCAN_TARGET_PRESENT" drm/ssd130x: Init display before the SSD130X_DISPLAY_ON command drm/vc4: hdmi: make CEC adapter name unique arm64: dts: imx8mm-verdin: Do not power down eth-phy arm64: dts: imx8mm: Fix pad control for UART1_DTE_RX bpf, sockmap: Check for any of tcp_bpf_prots when cloning a listener media: v4l2-ctrls-api.c: move ctrl->is_new = 1 to the correct line bpf: Add missing btf_put to register_btf_id_dtor_kfuncs ASoC: SOF: ipc4-mtrace: prevent underflow in sof_ipc4_priority_mask_dfs_write() selftests/filesystems: grant executable permission to run_fat_tests.sh bpf: Fix to preserve reg parent/live fields when copying range info ALSA: hda/via: Avoid potential array out-of-bound in add_secret_dac_path() bpf: Fix a possible task gone issue with bpf_send_signal[_thread]() helpers bpf: Fix off-by-one error in bpf_mem_cache_idx() ASoC: Intel: avs: Implement PCI shutdown ASoC: Intel: sof_es8336: Drop reference count of ACPI device after use ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device after use ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device after use ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device after use ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device after use ASoC: amd: acp-es8336: Drop reference count of ACPI device after use arm64: dts: freescale: imx8dxl: fix sc_pwrkey's property name linux,keycode arm64: dts: imx8m-venice: Remove incorrect 'uart-has-rtscts' bus: sunxi-rsb: Fix error handling in sunxi_rsb_init() firewire: fix memory leak for payload of request subaction to IEC 61883-1 FCP region Linux 6.1.10 net: mctp: purge receive queues on sk destruction rust: print: avoid evaluating arguments in `pr_*` macros in `unsafe` blocks net: fix NULL pointer in skb_segment_list gpiolib-acpi: Don't set GPIOs for wakeup in S3 mode gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xRU nvme-apple: only reset the controller when RTKit is running cifs: fix return of uninitialized rc in dfs_cache_update_tgthint() gpiolib: acpi: Allow ignoring wake capability on pins that aren't in _AEI dmaengine: imx-sdma: Fix a possible memory leak in sdma_transfer_init HID: playstation: sanity check DualSense calibration data. HID: uclogic: Add support for XP-PEN Deco 01 V2 s390: workaround invalid gcc-11 out of bounds read warning block: fix hctx checks for batch allocation ACPI: video: Add backlight=native DMI quirk for Acer Aspire 4810T LoongArch: Get frame info in unwind_start() when regs is not available blk-cgroup: fix missing pd_online_fn() while activating policy erofs: clean up parsing of fscache related options kselftest: Fix error message for unconfigured LLVM builds ARM: omap1: fix building gpio15xx arm64: dts: msm8994-angler: fix the memory map mac80211: Fix MLO address translation for multiple bss case erofs/zmap.c: Fix incorrect offset calculation bpf: Skip task with pid=1 in send_signal_common() firmware: arm_scmi: Clear stale xfer->hdr.status arm64: dts: imx8mq-thor96: fix no-mmc property for SDHCI arm64: dts: freescale: Fix pca954x i2c-mux node names ARM: dts: vf610: Fix pca9548 i2c-mux node names ARM: dts: imx: Fix pca9547 i2c-mux node name Linux 6.1.9 amdgpu: fix build on non-DCN platforms. perf/x86/amd: fix potential integer overflow on shift of a int netfilter: conntrack: unify established states for SCTP paths dt-bindings: i2c: renesas,rzv2m: Fix SoC specific string x86/i8259: Mark legacy PIC interrupts with IRQ_LEVEL dt-bindings: riscv: fix single letter canonical order dt-bindings: riscv: fix underscore requirement for multi-letter extensions acpi: Fix suspend with Xen PV x86/sev: Add SEV-SNP guest feature negotiation support Input: i8042 - add Clevo PCX0DX to i8042 quirk table Revert "Input: synaptics - switch touchpad on HP Laptop 15-da3001TU to RMI mode" Revert "mm/compaction: fix set skip in fast_find_migrateblock" Fix up more non-executable files marked executable tools: gpio: fix -c option of gpio-event-mon treewide: fix up files incorrectly marked executable block: ublk: move ublk_chr_class destroying after devices are removed Partially revert "perf/arm-cmn: Optimise DTC counter accesses" net: mdio-mux-meson-g12a: force internal PHY off on mux switch tsnep: Fix TX queue stop/wake for multiple queues net/tg3: resolve deadlock in tg3_reset_task() during EEH riscv: Move call to init_cpu_topology() to later initialization stage thermal: intel: int340x: Add locking to int340x_thermal_get_trip_type() net: mctp: mark socks as dead on unhash, prevent re-add net: mctp: hold key reference when looking up a general key net: mctp: move expiry timer delete to unhash net: mctp: add an explicit reference from a mctp_sk_key to sock net: ravb: Fix possible hang if RIS2_QFF1 happen net: ravb: Fix lack of register setting after system resumed for Gen3 gpio: ep93xx: Fix port F hwirq numbers in handler gpio: mxc: Unlock on error path in mxc_flip_edge() nvme: fix passthrough csi check riscv/kprobe: Fix instruction simulation of JALR sctp: fail if no bound addresses can be used for a given scope net/sched: sch_taprio: do not schedule in taprio_reset() tracing/osnoise: Use built-in RCU list checking ACPI: video: Fix apple gmux detection platform/x86: apple-gmux: Add apple_gmux_detect() helper platform/x86: apple-gmux: Move port defines to apple-gmux.h platform/x86: asus-wmi: Fix kbd_dock_devid tablet-switch reporting netrom: Fix use-after-free of a listening socket. netfilter: conntrack: fix vtag checks for ABORT/SHUTDOWN_COMPLETE net: ethernet: adi: adin1110: Fix multicast offloading net: dsa: microchip: fix probe of I2C-connected KSZ8563 ipv4: prevent potential spectre v1 gadget in fib_metrics_match() ipv4: prevent potential spectre v1 gadget in ip_metrics_convert() netlink: annotate data races around sk_state netlink: annotate data races around dst_portid and dst_group netlink: annotate data races around nlk->portid netfilter: nft_set_rbtree: skip elements in transaction from garbage collection netfilter: nft_set_rbtree: Switch to node list walk for overlap detection ACPI: video: Add backlight=native DMI quirk for Asus U46E ACPI: video: Add backlight=native DMI quirk for HP EliteBook 8460p ACPI: video: Add backlight=native DMI quirk for HP Pavilion g6-1d80nr drm/i915/selftest: fix intel_selftest_modify_policy argument types nvme-fc: fix initialization order nvme: consolidate setting the tagset flags nvme: simplify transport specific device attribute handling net: fec: Use page_pool_put_full_page when freeing rx buffers net: fix UaF in netns ops registration error path netlink: prevent potential spectre v1 gadgets iavf: schedule watchdog immediately when changing primary MAC iavf: fix temporary deadlock and failure to set MAC address drm/i915: Fix a memory leak with reused mmap_offset drm/drm_vma_manager: Add drm_vma_node_allow_once() i2c: designware: Fix unbalanced suspended flag i2c: designware: use casting of u64 in clock multiplication to avoid overflow io_uring: always prep_async for drain requests net: mana: Fix IRQ name - add PCI and queue number io_uring: inline __io_req_complete_put() io_uring: remove io_req_tw_post_queue io_uring: use io_req_task_complete() in timeout io_uring: hold locks for io_req_complete_failed io_uring: inline __io_req_complete_post() io_uring: inline io_req_task_work_add() drm/amdgpu/display/mst: update mst_mgr relevant variable when long HPD drm/amdgpu/display/mst: limit payload to be updated one by one drm/amdgpu/display/mst: Fix mst_state->pbn_div and slot count assignments drm/amdgpu: remove unconditional trap enable on add gfx11 queues drm/amd/pm: add missing AllowIHInterrupt message mapping for SMU13.0.0 drm/display/dp_mst: Correct the kref of port. platform/x86: thinkpad_acpi: Fix profile modes on Intel platforms EDAC/qcom: Do not pass llcc_driv_data as edac_device_ctl_info's pvt_info EDAC/device: Respect any driver-supplied workqueue polling value ARM: 9280/1: mm: fix warning on phys_addr_t to void pointer assignment ipv6: fix reachability confirmation with proxy_ndp regulator: dt-bindings: samsung,s2mps14: add lost samsung,ext-control-gpios thermal: intel: int340x: Protect trip temperature from concurrent updates riscv: fix -Wundef warning for CONFIG_RISCV_BOOT_SPINWAIT scsi: ufs: core: Fix devfreq deadlocks KVM: arm64: GICv4.1: Fix race with doorbell on VPE activation/deactivation KVM: x86/vmx: Do not skip segment attributes if unusable bit is set io_uring/net: cache provided buffer group value for multishot receives ovl: fail on invalid uid/gid mapping at copy up ovl: fix tmpfile leak ksmbd: limit pdu length size according to connection status ksmbd: downgrade ndr version error message to debug ksmbd: do not sign response to session request for guest login ksmbd: add max connections parameter cifs: Fix oops due to uncleared server->smbd_conn in reconnect ftrace/scripts: Update the instructions for ftrace-bisect.sh trace_events_hist: add check for return value of 'create_hist_field' tracing: Make sure trace_printk() can output as soon as it can be used ftrace: Export ftrace_free_filter() to modules module: Don't wait for GOING modules nfsd: don't free files unconditionally in __nfsd_file_cache_purge kvm/vfio: Fix potential deadlock on vfio group_lock scsi: hpsa: Fix allocation size for scsi_host_alloc() vfio/type1: Respect IOMMU reserved regions in vfio_test_domain_fgsp() sched/uclamp: Fix a uninitialized variable warnings sched/fair: Check if prev_cpu has highest spare cap in feec() wifi: mac80211: Fix iTXQ AMPDU fragmentation handling wifi: mac80211: Proper mark iTXQs for resumption io_uring/msg_ring: fix remote queue to disabled ring drm/amdgpu: complete gfxoff allow signal during suspend without delay drm/i915: Allow alternate fixed modes always for eDP drm/i915: Allow panel fixed modes to have differing sync polarities Bluetooth: hci_sync: cancel cmd_timer if hci_open failed arm64: efi: Account for the EFI runtime stack in stack unwinder arm64: efi: Avoid workqueue to check whether EFI runtime is live arm64: efi: Recover from synchronous exceptions occurring in firmware Revert "selftests/bpf: check null propagation only neither reg is PTR_TO_BTF_ID" btrfs: zoned: enable metadata over-commit for non-ZNS setup firmware: coreboot: Check size of table entry and use flex-array ata: pata_cs5535: Don't build on UML lockref: stop doing cpu_relax in the cmpxchg loop platform/x86: simatic-ipc: add another model platform/x86: simatic-ipc: correct name of a model platform/x86: asus-wmi: Ignore fan on E410MA platform/x86: asus-wmi: Add quirk wmi_ignore_fan platform/x86: asus-nb-wmi: Add alternate mapping for KEY_SCREENLOCK platform/x86: asus-nb-wmi: Add alternate mapping for KEY_CAMERA platform/x86: touchscreen_dmi: Add info for the CSL Panther Tab HD r8152: add vendor/device ID pair for Microsoft Devkit scsi: hisi_sas: Set a port invalid only if there are no devices attached when refreshing port id scsi: hisi_sas: Use abort task set to reset SAS disks when discovered KVM: s390: interrupt: use READ_ONCE() before cmpxchg() spi: spidev: remove debug messages that access spidev->spi without locking cifs: fix potential memory leaks in session setup drm/amdkfd: Fix NULL pointer error for GC 11.0.1 on mGPU drm/amdkfd: Add sync after creating vram bo ACPI: resource: Skip IRQ override on Asus Expertbook B2402CBA ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with AC'97 CODEC cpufreq: armada-37xx: stop using 0 as NULL pointer tools/nolibc: prevent gcc from making memset() loop over itself tools/nolibc: fix missing includes causing build issues at -O0 tools/nolibc: Fix S_ISxxx macros nolibc: fix fd_set type perf/x86/intel/uncore: Add Emerald Rapids perf/x86/msr: Add Emerald Rapids perf/x86/msr: Add Meteor Lake support perf/x86/cstate: Add Meteor Lake support xen/pvcalls: free active map buffer on pvcalls_front_free_map s390: expicitly align _edata and _end symbols on page boundary s390/debug: add _ASM_S390_ prefix to header guard drm: Add orientation quirk for Lenovo ideapad D330-10IGL net: usb: cdc_ether: add support for Thales Cinterion PLS62-W modem drm/msm/a6xx: Avoid gx gbit halt during rpm suspend ASoC: fsl_micfil: Correct the number of steps on SX controls cpufreq: Add SM6375 to cpufreq-dt-platdev blocklist kcsan: test: don't put the expect array on the stack ASoC: support machine driver with max98360 ASoC: amd: yc: Add ASUS M5402RA into DMI table ASoC: mediatek: mt8186: Add machine support for max98357a ASoC: mediatek: mt8186: support rt5682s_max98360 cpufreq: Add Tegra234 to cpufreq-dt-platdev blocklist cpufreq: CPPC: Add u64 casts to avoid overflowing spi: cadence: Fix busy cycles calculation ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table ASoC: SOF: Add FW state to debugfs ASoC: SOF: pm: Always tear down pipelines before DSP suspend ASoC: SOF: pm: Set target state earlier scsi: iscsi: Fix multiple iSCSI session unbind events sent to userspace tcp: fix rate_app_limited to default to 1 bnxt: Do not read past the end of test names net: stmmac: enable all safety features by default thermal: core: call put_device() only after device_register() fails thermal/core: fix error code in __thermal_cooling_device_register() thermal: Validate new state in cur_state_store() net: dsa: microchip: ksz9477: port map correction in ALU table entry register selftests/net: toeplitz: fix race on tpacket_v3 block close driver core: Fix test_async_probe_init saves device in wrong array w1: fix WARNING after calling w1_process() w1: fix deadloop in __w1_remove_master_device() device property: fix of node refcount leak in fwnode_graph_get_next_endpoint() ptdma: pt_core_execute_cmd() should use spinlock usb: dwc3: fix extcon dependency tcp: avoid the lookup process failing to get sk in ehash table nvme-pci: fix timeout request state check net: sched: gred: prevent races when adding offloads to stats drm/amd/display: fix issues with driver unload phy: phy-can-transceiver: Skip warning if no "max-bitrate" dmaengine: tegra: Fix memory leak in terminate_all() dmaengine: xilinx_dma: call of_node_put() when breaking out of for_each_child_of_node() cifs: fix potential deadlock in cache_refresh_path() drm/i915/selftests: Unwind hugepages to drop wakeref on error HID: betop: check shape of output reports l2tp: prevent lockdep issue in l2tp_tunnel_register() virtio-net: correctly enable callback during start_xmit net: macb: fix PTP TX timestamp failure due to packet padding dmaengine: Fix double increment of client_count in dma_chan_get() drm/panfrost: fix GENERIC_ATOMIC64 dependency net: mlx5: eliminate anonymous module_init & module_exit net/mlx5: E-switch, Fix switchdev mode after devlink reload net/mlx5e: Set decap action based on attr for sample net/mlx5e: QoS, Fix wrongfully setting parent_element_id on MODIFY_SCHEDULING_ELEMENT net/mlx5: E-switch, Fix setting of reserved fields on MODIFY_SCHEDULING_ELEMENT net/mlx5e: Avoid false lock dependency warning on tc_ht even more net: ipa: disable ipa interrupt during suspend Bluetooth: Fix possible deadlock in rfcomm_sk_state_change Bluetooth: hci_event: Fix Invalid wait context Bluetooth: ISO: Fix possible circular locking dependency Bluetooth: ISO: Avoid circular locking dependency Bluetooth: hci_sync: fix memory leak in hci_update_adv_data() Bluetooth: hci_conn: Fix memory leaks Bluetooth: Fix a buffer overflow in mgmt_mesh_add() netfilter: conntrack: handle tcp challenge acks during connection reuse usb: gadget: f_fs: Ensure ep0req is dequeued before free_request usb: gadget: f_fs: Prevent race during ffs_ep0_queue_wait usb: ucsi: Ensure connector delayed work items are flushed block/rnbd-clt: fix wrong max ID in ida_alloc_max HID: revert CHERRY_MOUSE_000C quirk ARM: dts: stm32: Fix qspi pinctrl phandle for stm32mp151a-prtt1l ARM: dts: stm32: Fix qspi pinctrl phandle for stm32mp157c-emstamp-argon ARM: dts: stm32: Fix qspi pinctrl phandle for stm32mp15xx-dhcom-som ARM: dts: stm32: Fix qspi pinctrl phandle for stm32mp15xx-dhcor-som pinctrl: rockchip: fix mux route data for rk3568 net: stmmac: fix invalid call to mdiobus_get_phy() HID: check empty report_list in bigben_probe() HID: check empty report_list in hid_validate_values() net: mdio: validate parameter addr in mdiobus_get_phy() net: usb: sr9700: Handle negative len l2tp: close all race conditions in l2tp_tunnel_register() l2tp: convert l2tp_tunnel_list to idr net/sched: sch_taprio: fix possible use-after-free net: stmmac: Fix queue statistics reading pinctrl: rockchip: fix reading pull type on rk3568 wifi: rndis_wlan: Prevent buffer overflow in rndis_query_oid gpio: mxc: Always set GPIOs used as interrupt source to INPUT mode gpio: mxc: Protect GPIO irqchip RMW with bgpio spinlock sch_htb: Avoid grafting on htb_destroy_class_offload when destroying htb net: lan966x: add missing fwnode_handle_put() for ports node net: enetc: avoid deadlock in enetc_tx_onestep_tstamp() net: wan: Add checks for NULL for utdm in undo_uhdlc_init and unmap_si_regs net: nfc: Fix use-after-free in local_cleanup() phy: rockchip-inno-usb2: Fix missing clk_disable_unprepare() in rockchip_usb2phy_power_on() drm/vc4: bo: Fix unused variable warning bpf: Fix pointer-leak due to insufficient speculative store bypass mitigation amd-xgbe: Delay AN timeout during KR training amd-xgbe: TX Flow Ctrl Registers are h/w ver dependent bpf: hash map, avoid deadlock with suitable hash mask phy: usb: sunplus: Fix potential null-ptr-deref in sp_usb_phy_probe() drm/vc4: bo: Fix drmm_mutex_init memory hog ARM: dts: at91: sam9x60: fix the ddr clock for sam9x60 NFSD: fix use-after-free in nfsd4_ssc_setup_dul() drm/msm/gpu: Fix potential double-free phy: ti: fix Kconfig warning and operator precedence kbuild: fix 'make modules' error when CONFIG_DEBUG_INFO_BTF_MODULES=y kbuild: export top-level LDFLAGS_vmlinux only to scripts/Makefile.vmlinux arm64: dts: qcom: msm8992-libra: Fix the memory map arm64: dts: qcom: msm8992: Don't use sfpb mutex PM: AVS: qcom-cpr: Fix an error handling path in cpr_probe() affs: initialize fsdata in affs_truncate() IB/hfi1: Remove user expected buffer invalidate race IB/hfi1: Immediately remove invalid memory from hardware IB/hfi1: Fix expected receive setup error exit issues IB/hfi1: Reserve user expected TIDs IB/hfi1: Reject a zero-length user expected buffer RDMA/core: Fix ib block iterator counter overflow arm64: dts: marvell: AC5/AC5X: Fix address for UART1 erofs: fix kvcalloc() misuse with __GFP_NOFAIL RDMA/rxe: Prevent faulty rkey generation RDMA/rxe: Fix inaccurate constants in rxe_type_info tomoyo: fix broken dependency on *.conf.default HID: amd_sfh: Fix warning unwind goto interconnect: qcom: msm8996: Fix regmap max_register values interconnect: qcom: msm8996: Provide UFS clocks to A2NoC firmware: arm_scmi: Fix virtio channels cleanup on shutdown firmware: arm_scmi: Harden shared memory access in fetch_notification firmware: arm_scmi: Harden shared memory access in fetch_response EDAC/highbank: Fix memory leak in highbank_mc_probe() reset: uniphier-glue: Fix possible null-ptr-deref reset: ti-sci: honor TI_SCI_PROTOCOL setting when not COMPILE_TEST soc: imx8m: Fix incorrect check for of_clk_get_by_name() arm64: dts: imx8mm-venice-gw7901: fix USB2 controller OC polarity HID: intel_ish-hid: Add check for ishtp_dma_tx_map arm64: dts: imx8mp-evk: pcie0-refclk cosmetic cleanup arm64: dts: imx8mp: Fix power-domain typo arm64: dts: imx8mp: Fix missing GPC Interrupt soc: imx: imx8mp-blk-ctrl: don't set power device name ARM: imx: add missing of_node_put() arm64: dts: imx93-11x11-evk: correct clock and strobe pad setting arm64: dts: verdin-imx8mm: fix dev board audio playback arm64: dts: imx8mm-beacon: Fix ecspi2 pinmux arm64: dts: verdin-imx8mm: fix dahlia audio playback ARM: dts: imx6qdl-gw560x: Remove incorrect 'uart-has-rtscts' ARM: dts: imx7d-pico: Use 'clock-frequency' ARM: dts: imx6ul-pico-dwarf: Use 'clock-frequency' arm64: dts: imx8mp-phycore-som: Remove invalid PMIC property soc: imx: imx8mp-blk-ctrl: enable global pixclk with HDMI_TX_PHY PD dmaengine: ti: k3-udma: Do conditional decrement of UDMA_CHAN_RT_PEER_BCNT_REG dmaengine: qcom: gpi: Set link_rx bit on GO TRE for rx operation arm64: dts: qcom: sc8280xp: fix primary USB-DP PHY reset memory: mvebu-devbus: Fix missing clk_disable_unprepare in mvebu_devbus_probe() memory: atmel-sdramc: Fix missing clk_disable_unprepare in atmel_ramc_probe() memory: tegra: Remove clients SID override programming Linux 6.1.8 soc: qcom: apr: Make qcom,protection-domain optional again Revert "wifi: mac80211: fix memory leak in ieee80211_if_add()" block: mq-deadline: Rename deadline_is_seq_writes() net/mlx5: fix missing mutex_unlock in mlx5_fw_fatal_reporter_err_work() octeontx2-pf: Fix the use of GFP_KERNEL in atomic context on rt net/ulp: use consistent error code when blocking ULP octeontx2-pf: Avoid use of GFP_KERNEL in atomic context drm/amdgpu: correct MEC number for gfx11 APUs drm/amdgpu: add tmz support for GC IP v11.0.4 drm/amdgpu: add tmz support for GC 11.0.1 drm/amdgpu: enable GFX Clock Gating control for GC IP v11.0.4 drm/amdgpu: enable GFX Power Gating for GC IP v11.0.4 drm/amdgpu: enable GFX IP v11.0.4 CG support drm/amdgpu: enable PSP IP v13.0.11 support drm/amdgpu/discovery: enable nbio support for NBIO v7.7.1 drm/amdgpu/pm: use the specific mailbox registers only for SMU IP v13.0.4 drm/amdgpu/soc21: add mode2 asic reset for SMU IP v13.0.11 drm/amdgpu/pm: add GFXOFF control IP version check for SMU IP v13.0.11 drm/amdgpu: add smu 13 support for smu 13.0.11 drm/amdgpu/pm: enable swsmu for SMU IP v13.0.11 drm/amdgpu/discovery: add PSP IP v13.0.11 support drm/amdgpu: add gmc v11 support for GC 11.0.4 drm/amdgpu: add gfx support for GC 11.0.4 drm/amdgpu/discovery: set the APU flag for GC 11.0.4 drm/amdgpu: set GC 11.0.4 family drm/amdgpu/discovery: enable mes support for GC v11.0.4 drm/amdgpu/discovery: enable gfx v11 for GC 11.0.4 drm/amdgpu/discovery: enable gmc v11 for GC 11.0.4 drm/amdgpu/discovery: enable soc21 common for GC 11.0.4 x86/fpu: Use _Alignof to avoid undefined behavior in TYPE_ALIGN exit: Use READ_ONCE() for all oops/warn limit reads docs: Fix path paste-o for /sys/kernel/warn_count panic: Expose "warn_count" to sysfs panic: Introduce warn_limit panic: Consolidate open-coded panic_on_warn checks exit: Allow oops_limit to be disabled exit: Expose "oops_count" to sysfs exit: Put an upper limit on how often we can oops panic: Separate sysctl logic from CONFIG_SMP efi: rt-wrapper: Add missing include arm64: efi: Execute runtime services from a dedicated stack fs/ntfs3: Fix attr_punch_hole() null pointer derenference cifs: reduce roundtrips on create/qinfo requests drm/amd/display: disable S/G display on DCN 3.1.4 drm/amd/display: disable S/G display on DCN 3.1.5 drm/amd/display: Fix COLOR_SPACE_YCBCR2020_TYPE matrix drm/amd/display: Calculate output_color_space after pixel encoding adjustment drm/amd/display: Fix set scaling doesn's work drm/i915: Remove unused variable drm/i915: Allow switching away via vga-switcheroo if uninitialized drm/i915/display: Check source height is > 0 drm/i915: re-disable RC6p on Sandy Bridge drm/amdgpu: Correct the power calcultion for Renior/Cezanne. drm/amdgpu: allow multipipe policy on ASICs with one MEC drm/amdgpu: fix amdgpu_job_free_resources v2 ARM: omap1: fix !ARCH_OMAP1_ANY link failures ARM: dts: qcom: apq8084-ifc6540: fix overriding SDHCI VMCI: Use threaded irqs instead of tasklets mei: me: add meteor lake point M DID mei: bus: fix unlink on bus in error path gsmi: fix null-deref in gsmi_get_variable serial: exar: Add support for Sealevel 7xxxC serial cards serial: atmel: fix incorrect baudrate setup serial: amba-pl011: fix high priority character transmission in rs486 mode dmaengine: idxd: Do not call DMX TX callbacks during workqueue disable dmaengine: idxd: Prevent use after free on completion memory dmaengine: idxd: Let probe fail when workqueue cannot be enabled dmaengine: tegra210-adma: fix global intr clear dmaengine: lgm: Move DT parsing after initialization serial: pch_uart: Pass correct sg to dma_unmap_sg() dt-bindings: phy: g12a-usb3-pcie-phy: fix compatible string documentation dt-bindings: phy: g12a-usb2-phy: fix compatible string documentation arm64: dts: imx8mp: correct usb clocks usb-storage: apply IGNORE_UAS only for HIKSEMI MD202 on RTL9210 usb: gadget: f_ncm: fix potential NULL ptr deref in ncm_bitrate() USB: gadget: Add ID numbers to configfs-gadget driver names usb: gadget: g_webcam: Send color matching descriptor per frame usb: typec: altmodes/displayport: Fix pin assignment calculation usb: typec: altmodes/displayport: Add pin assignment helper usb: typec: tcpm: Fix altmode re-registration causes sysfs create fail usb: musb: fix error return code in omap2430_probe() usb: host: ehci-fsl: Fix module alias usb: cdns3: remove fetched trb from cache before dequeuing USB: serial: cp210x: add SCALANCE LPE-9000 device id USB: gadgetfs: Fix race between mounting and unmounting selftests: mptcp: userspace: validate v4-v6 subflows mix mptcp: netlink: respect v4/v6-only sockets mptcp: explicitly specify sock family at subflow creation time io_uring/poll: don't reissue in case of poll race on multishot request pktcdvd: check for NULL returna fter calling bio_split_to_limits() tty: fix possible null-ptr-defer in spk_ttyio_release tty: serial: qcom-geni-serial: fix slab-out-of-bounds on RX FIFO buffer bpf: restore the ebpf program ID for BPF_AUDIT_UNLOAD and PERF_BPF_EVENT_PROG_UNLOAD riscv: dts: sifive: fu740: fix size of pcie 32bit memory thunderbolt: Do not call PM runtime functions in tb_retimer_scan() thunderbolt: Do not report errors if on-board retimers are found thunderbolt: Use correct function to calculate maximum USB3 link rate thunderbolt: Disable XDomain lane 1 only in software connection manager cifs: do not include page data when checking signature btrfs: fix race between quota rescan and disable leading to NULL pointer deref btrfs: fix invalid leaf access due to inline extent during lseek btrfs: qgroup: do not warn on record without old_roots populated btrfs: do not abort transaction on failure to update log root btrfs: do not abort transaction on failure to write log tree when syncing log btrfs: add missing setup of log for full commit at add_conflicting_inode() btrfs: fix directory logging due to race with concurrent index key deletion btrfs: fix missing error handling when logging directory items btrfs: add extra error messages to cover non-ENOMEM errors from device_add_list() mm/MADV_COLLAPSE: don't expand collapse when vm_end is past requested end mm/userfaultfd: enable writenotify while userfaultfd-wp is enabled for a VMA mm/hugetlb: pre-allocate pgtable pages for uffd wr-protects mm/hugetlb: fix uffd-wp handling for migration entries in hugetlb_change_protection() mm/hugetlb: fix PTE marker handling in hugetlb_change_protection() mmc: sdhci-esdhc-imx: correct the tuning start tap and step setting mmc: sunxi-mmc: Fix clock refcount imbalance during unbind ACPI: PRM: Check whether EFI runtime is available comedi: adv_pci1760: Fix PWM instruction handling usb: core: hub: disable autosuspend for TI TUSB8041 misc: fastrpc: Fix use-after-free race condition for maps misc: fastrpc: Don't remove map on creater_process and device_release misc: fastrpc: Fix use-after-free and race in fastrpc_map_find usb: misc: onboard_hub: Move 'attach' work to the driver usb: misc: onboard_hub: Invert driver registration order USB: misc: iowarrior: fix up header size for USB_DEVICE_ID_CODEMERCS_IOW100 staging: vchiq_arm: fix enum vchiq_status return types USB: serial: option: add Quectel EM05CN modem USB: serial: option: add Quectel EM05CN (SG) modem USB: serial: option: add Quectel EC200U modem USB: serial: option: add Quectel EM05-G (RS) modem USB: serial: option: add Quectel EM05-G (CS) modem USB: serial: option: add Quectel EM05-G (GR) modem prlimit: do_prlimit needs to have a speculation check xhci: Detect lpm incapable xHC USB3 roothub ports from ACPI tables usb: acpi: add helper to check port lpm capability using acpi _DSM xhci: Add a flag to disable USB3 lpm on a xhci root port level. xhci: Add update_hub_device override for PCI xHCI hosts xhci: Fix null pointer dereference when host dies usb: xhci: Check endpoint is valid before dereferencing it xhci-pci: set the dma max_seg_size Revert "serial: stm32: Merge hard IRQ and threaded IRQ handling into single IRQ handler" serial: stm32: Merge hard IRQ and threaded IRQ handling into single IRQ handler mm/khugepaged: fix collapse_pte_mapped_thp() to allow anon_vma hugetlb: unshare some PMDs when splitting VMAs mm/shmem: restore SHMEM_HUGE_DENY precedence over MADV_COLLAPSE nilfs2: fix general protection fault in nilfs_btree_insert() zonefs: Detect append writes at invalid locations LoongArch: Add HWCAP_LOONGARCH_CPUCFG to elf_hwcap Add exception protection processing for vd in axi_chan_handle_err function proc: fix PIE proc-empty-vm, proc-pid-vm tests nommu: fix split_vma() map_count error nommu: fix do_munmap() error path nommu: fix memory leak in do_mmap() error path wifi: mac80211: fix initialization of rx->link and rx->link_sta wifi: mac80211: sdata can be NULL during AMPDU start wifi: mac80211: reset multiple BSSID options in stop_ap() wifi: mac80211: fix MLO + AP_VLAN check wifi: brcmfmac: fix regression for Broadcom PCIe wifi devices Bluetooth: hci_qca: Fix driver shutdown on closed serdev Bluetooth: hci_sync: Fix use HCI_OP_LE_READ_BUFFER_SIZE_V2 fbdev: omapfb: avoid stack overflow warning of: fdt: Honor CONFIG_CMDLINE* even without /chosen node, take 2 perf/x86/rapl: Add support for Intel Emerald Rapids perf/x86/rapl: Add support for Intel Meteor Lake memblock tests: Fix compilation error. cifs: fix race in assemble_neg_contexts() perf/x86/rapl: Treat Tigerlake like Icelake f2fs: let's avoid panic if extent_tree is not created x86/asm: Fix an assembler warning with current binutils btrfs: always report error in run_one_delayed_ref() selftests: net: fix cmsg_so_mark.sh test hang RDMA/srp: Move large values to a new enum for gcc13 bpf: keep a reference to the mm, in case the task is dead. r8169: fix dmar pte write access is not set error r8169: move rtl_wol_enable_rx() and rtl_prepare_power_down() net/ethtool/ioctl: return -EOPNOTSUPP if we have no phy stats vdpa_sim_net: should not drop the multicast/broadcast packet vduse: Validate vq_num in vduse_validate_config() virtio_pci: modify ENOENT to EINVAL vdpa/mlx5: Avoid overwriting CVQ iotlb vdpa/mlx5: Avoid using reslock in event_handler vdpa/mlx5: Return error on vlan ctrl commands if not supported tools/virtio: initialize spinlocks in vring_test.c net: ethernet: marvell: octeontx2: Fix uninitialized variable warning selftests/bpf: check null propagation only neither reg is PTR_TO_BTF_ID pNFS/filelayout: Fix coalescing test for single DS wifi: iwlwifi: fw: skip PPAG for JF btrfs: fix trace event name typo for FLUSH_DELAYED_REFS dma-buf: fix dma_buf_export init order v2 Linux 6.1.7 pinctrl: amd: Add dynamic debugging for active GPIOs Revert "usb: ulpi: defer ulpi_register on ulpi_read_id timeout" block: handle bio_split_to_limits() NULL return io_uring/io-wq: only free worker if it was allocated for creation io_uring/io-wq: free worker if task_work creation is canceled drm/i915: Fix CFI violations in gt_sysfs io_uring/poll: attempt request issue after racy poll wakeup io_uring: lock overflowing for IOPOLL efi: fix NULL-deref in init error path ALSA: usb-audio: Fix possible NULL pointer dereference in snd_usb_pcm_has_fixed_rate() platform/x86/amd: Fix refcount leak in amd_pmc_probe platform/surface: aggregator: Add missing call to ssam_request_sync_free() bnxt: make sure we return pages to the pool net: hns3: fix wrong use of rss size during VF rss config net: lan966x: check for ptp to be enabled in lan966x_ptp_deinit() igc: Fix PPS delta between two synchronized end-points perf kmem: Support field "node" in evsel__process_alloc_event() coping with recent tracepoint restructuring perf kmem: Support legacy tracepoints perf build: Properly guard libbpf includes octeontx2-pf: Fix resource leakage in VF driver unbind selftests/net: l2_tos_ttl_inherit.sh: Ensure environment cleanup on failure. selftests/net: l2_tos_ttl_inherit.sh: Run tests in their own netns. selftests/net: l2_tos_ttl_inherit.sh: Set IPv6 addresses with "nodad". net/mlx5e: Fix macsec possible null dereference when updating MAC security entity (SecY) net/mlx5e: Fix macsec ssci attribute handling in offload path net/mlx5e: Don't support encap rules with gbp option net/mlx5: Fix ptp max frequency adjustment range net/mlx5e: IPoIB, Fix child PKEY interface stats on rx path net/mlx5e: IPoIB, Block PKEY interfaces with less rx queues than parent net/mlx5e: IPoIB, Block queue count configuration when sub interfaces are present net/mlx5e: Verify dev is present for fix features ndo net/mlx5: Fix command stats access after free net/mlx5e: TC, Keep mod hdr actions after mod hdr alloc net/mlx5: check attr pointer validity before dereferencing it Revert "r8169: disable detection of chip version 36" net/sched: act_mpls: Fix warning during failed attribute validation drm/vmwgfx: Remove rcu locks from user resources drm/vmwgfx: Remove vmwgfx_hashtab drm/vmwgfx: Refactor ttm reference object hashtable to use linux/hashtable. drm/vmwgfx: Refactor resource validation hashtable to use linux/hashtable implementation. drm/vmwgfx: Remove ttm object hashtable drm/vmwgfx: Refactor resource manager's hashtable to use linux/hashtable implementation. drm/vmwgfx: Write the driver id registers ice: Add check for kzalloc ice: Fix potential memory leak in ice_gnss_tty_write() drm/amdgpu: Fix potential NULL dereference tools/nolibc: fix the O_* fcntl/open macro definitions for riscv tools/nolibc: restore mips branch ordering in the _start block ASoC: qcom: Fix building APQ8016 machine driver without SOUNDWIRE af_unix: selftest: Fix the size of the parameter to connect() gro: take care of DODGY packets gro: avoid checking for a failed search nfc: pn533: Wait for out_urb's completion in pn533_usb_send_frame() hvc/xen: lock console list traversal sched/core: Fix arch_scale_freq_tick() on tickless systems octeontx2-af: Fix LMAC config in cgx_lmac_rx_tx_enable nfsd: fix handling of cached open files in nfsd4_open codepath nfsd: rework refcounting in filecache NFSD: Add an nfsd_file_fsync tracepoint nfsd: reorganize filecache.c nfsd: remove the pages_flushed statistic from filecache NFSD: Add an NFSD_FILE_GC flag to enable nfsd_file garbage collection NFSD: Revert "NFSD: NFSv4 CLOSE should release an nfsd_file immediately" NFSD: Pass the target nfsd_file to nfsd_commit() tipc: fix unexpected link reset due to discovery messages stmmac: dwmac-mediatek: remove the dwmac_fix_mac_speed ALSA: usb-audio: Relax hw constraints for implicit fb sync ALSA: usb-audio: Make sure to stop endpoints before closing EPs mtd: cfi: allow building spi-intel standalone mtd: parsers: scpart: fix __udivdi3 undefined on mips ASoC: wm8904: fix wrong outputs volume after power reactivation drm/msm/dpu: Fix memory leak in msm_mdss_parse_data_bus_icc_path drm/msm/dpu: Fix some kernel-doc comments ASoC: Intel: sof-nau8825: fix module alias overflow ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier ASoC: Intel: fix sof-nau8825 link failure scsi: ufs: core: WLUN suspend SSU/enter hibern8 fail recovery scsi: mpi3mr: Refer CONFIG_SCSI_MPI3MR in Makefile scsi: storvsc: Fix swiotlb bounce buffer leak in confidential VM regulator: da9211: Use irq handler when ready x86/resctrl: Fix event counts regression in reused RMIDs x86/resctrl: Fix task CLOSID/RMID update race x86/pat: Fix pat_x_mtrr_type() for MTRR disabled case EDAC/device: Fix period calculation in edac_device_reset_delay_period() x86/boot: Avoid using Intel mnemonics in AT&T syntax asm powerpc/imc-pmu: Fix use of mutex in IRQs disabled section selftests: netfilter: fix transaction test script timeout handling netfilter: ipset: Fix overflow before widen in the bitmap_ip_create() function. sched/core: Fix use-after-free bug in dup_user_cpus_ptr() iommu/arm-smmu: Report IOMMU_CAP_CACHE_COHERENCY even betterer iommu/arm-smmu: Don't unregister on shutdown iommu/mediatek-v1: Fix an error handling path in mtk_iommu_v1_probe() iommu/arm-smmu-v3: Don't unregister on shutdown iommu/iova: Fix alloc iova overflows issue mm: Always release pages to the buddy allocator in memblock_free_late(). drm/amdgpu: enable VCN DPG for GC IP v11.0.4 drm/amdgpu: Enable pg/cg flags on GC11_0_4 for VCN drm/amdgpu: add soc21 common ip block support for GC 11.0.4 drm/amd/pm: enable GPO dynamic control support for SMU13.0.7 drm/amd/pm: enable GPO dynamic control support for SMU13.0.0 drm/amd/pm: Enable bad memory page/channel recording support for smu v13_0_0 drm/amd/pm: enable mode1 reset on smu_v13_0_10 usb: ulpi: defer ulpi_register on ulpi_read_id timeout drm/i915/gt: Cleanup partial engine discovery failures iavf/iavf_main: actually log ->src mask when talking about it ipv6: raw: Deduct extension header length in rawv6_push_pending_frames ixgbe: fix pci device refcount leak platform/x86: sony-laptop: Don't turn off 0x153 keyboard backlight during probe dt-bindings: msm/dsi: Don't require vcca-supply on 14nm PHY dt-bindings: msm/dsi: Don't require vdds-supply on 10nm PHY drm/msm/dp: do not complete dp_aux_cmd_fifo_tx() if irq is not for aux transfer platform/x86: ideapad-laptop: Add Legion 5 15ARH05 DMI id to set_fn_lock_led_list[] arm64/mm: fix incorrect file_map_count for invalid pmd arm64: ptrace: Use ARM64_SME to guard the SME register enumerations dt-bindings: msm: dsi-phy-28nm: Add missing qcom, dsi-phy-regulator-ldo-mode arm64/mm: add pud_user_exec() check in pud_user_accessible_page() arm64/signal: Always accept SVE signal frames on SME only systems dt-bindings: msm: dsi-controller-main: Fix description of core clock dt-bindings: msm: dsi-controller-main: Fix power-domain constraint arm64/signal: Always allocate SVE signal frames on SME only systems drm/msm/adreno: Make adreno quirks not overwrite each other firmware/psci: Don't register with debugfs if PSCI isn't available firmware/psci: Fix MEM_PROTECT_RANGE function numbers drm/msm: another fix for the headless Adreno GPU dt-bindings: msm: dsi-controller-main: Fix operating-points-v2 constraint platform/x86: dell-privacy: Fix SW_CAMERA_LENS_COVER reporting platform/x86: asus-wmi: Don't load fan curves without fan platform/x86: thinkpad_acpi: Fix profile mode display in AMT mode platform/x86: int3472/discrete: Ensure the clk/power enable pins are in output mode platform/surface: aggregator: Ignore command messages not intended for us platform/x86: dell-privacy: Only register SW_CAMERA_LENS_COVER if present ACPI: video: Allow selecting NVidia-WMI-EC or Apple GMUX backlight from the cmdline ASoC: rt9120: Make dev PM runtime bind AsoC component PM io_uring/fdinfo: include locked hash table in fdinfo output cifs: fix double free on failed kerberos auth cifs: do not query ifaces on smb1 mounts cifs: fix file info setting in cifs_open_file() cifs: fix file info setting in cifs_query_path_info() cifs: Fix uninitialized memory read for smb311 posix symlink create Revert "drm/amdgpu: Revert "drm/amdgpu: getting fan speed pwm for vega10 properly"" drm/amd/display: move remaining FPU code to dml folder drm/amd/pm: add the missing mapping for PPT feature on SMU13.0.0 and 13.0.7 drm/amd/pm: correct the reference clock for fan speed(rpm) calculation drm/amdgpu: Fixed bug on error when unloading amdgpu drm/amd: Delay removal of the firmware framebuffer drm/i915: Fix potential context UAFs drm/i915: Reserve enough fence slot for i915_vma_unbind_async drm/i915/gt: Reset twice drm: Optimize drm buddy top-down allocation method drm/amd/pm/smu13: BACO is supported when it's in BACO state drm/virtio: Fix GEM handle creation UAF s390/percpu: add READ_ONCE() to arch_this_cpu_to_op_simple() s390/cpum_sf: add READ_ONCE() semantics to compare and swap loops cpufreq: amd-pstate: fix kernel hang issue while amd-pstate unregistering elfcore: Add a cprm parameter to elf_core_extra_{phdrs,data_size} ASoC: qcom: lpass-cpu: Fix fallback SD line index handling brcmfmac: Prefer DT board type over DMI board type s390/kexec: fix ipl report address for kdump perf auxtrace: Fix address filter duplicate symbol selection net: stmmac: add aux timestamps fifo clearance wait ACPI: Fix selecting wrong ACPI fwnode for the iGPU on some Dell laptops arm64: cmpxchg_double*: hazard against entire exchange variable arm64: mte: Avoid the racy walk of the vma list during core dump arm64: mte: Fix double-freeing of the temporary tag storage during coredump io_uring/poll: add hash if ready poll request can't complete inline docs: Fix the docs build with Sphinx 6.0 efi: tpm: Avoid READ_ONCE() for accessing the event log efi: fix userspace infinite retry read efivars after EFI runtime services page fault KVM: arm64: Fix S1PTW handling on RO memslots KVM: x86: Do not return host topology information from KVM_GET_SUPPORTED_CPUID ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360 13-aw0xxx ALSA: hda/realtek - Turn on power early ALSA: usb-audio: Always initialize fixed_rate in snd_usb_find_implicit_fb_sync_format() ALSA: control-led: use strscpy in set_led_id() Revert "ALSA: usb-audio: Drop superfluous interface setup at parsing" netfilter: nft_payload: incorrect arithmetics when fetching VLAN header bits Linux 6.1.6 ALSA: hda: cs35l41: Check runtime suspend capability at runtime_idle ALSA: hda - Enable headset mic on another Dell laptop with ALC3254 ALSA: hda: cs35l41: Don't return -EINVAL from system suspend/resume ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP platform ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list ALSA: pcm: Move rwsem lock inside snd_ctl_elem_read to prevent UAF net: sched: disallow noqueue for qdisc classes gcc: disable -Warray-bounds for gcc-11 too Revert "SUNRPC: Use RMW bitops in single-threaded hot paths" selftests/vm/pkeys: Add a regression test for setting PKRU through ptrace x86/fpu: Emulate XRSTOR's behavior if the xfeatures PKRU bit is not set x86/fpu: Allow PKRU to be (once again) written by ptrace. x86/fpu: Add a pkru argument to copy_uabi_to_xstate() x86/fpu: Add a pkru argument to copy_uabi_from_kernel_to_xstate(). x86/fpu: Take task_struct* in copy_sigframe_from_user_to_xstate() parisc: Align parisc MADV_XXX constants with all other architectures Signed-off-by: Mark Hatle (cherry picked from commit 03d260ea483fc9c16d6c3eadb867153f924e49af) Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx.inc | 2 +- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.1.bb | 6 +++--- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx.inc b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx.inc index 14dd2ace..2355d090 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx.inc +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx.inc @@ -3,7 +3,7 @@ LINUX_VERSION_EXTENSION ?= "-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', PV = "${LINUX_VERSION}${LINUX_VERSION_EXTENSION}+git${SRCPV}" # Sources, by default allow for the use of SRCREV pointing to orphaned tags/commits -KBRANCH ?= "xlnx_rebase_v5.15_LTS" +KBRANCH ?= "undefined" SRCBRANCHARG = "${@['nobranch=1', 'branch=${KBRANCH}'][d.getVar('KBRANCH', True) != '']}" FILESOVERRIDES:append := ":${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}" diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.1.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.1.bb index e258af5c..d229f21c 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.1.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.1.bb @@ -1,7 +1,7 @@ -LINUX_VERSION = "6.1.5" +LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" -KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "4d9224895c20772cc2b9a6f9bf7926b846cde1c5" +KBRANCH="xlnx_rebase_v6.1_LTS_2023.1_update" +SRCREV = "9299ac26ca514a0ccd9f6ae01f50e816d7e79dfa" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 1877a18c256cd460f4ff7fa7cf2ed0eb90236219 Mon Sep 17 00:00:00 2001 From: Raju Kumar Pothuraju Date: Fri, 30 Jun 2023 13:12:12 +0530 Subject: u-boot-xlnx-scr: Add support to use uboot env variable at built In current boot.scr recipe implementation supports the fixed offsets. Add support to use the uboot env variables to load the images. If offsets starts from '$' sign considering it as a uboot env. Example for SC BSPs(using fitblob) uboot will redirect the dtb to $fdtcontroladdr, so our boot script DT address also should point to the same. In .conf: DEVICETREE_OFFSET: = "$fdtcontroladdr" In boot.scr: 0x00200000 0x04000000 $fdtcontroladdr Remove the DEVICETREE_OVERLAY_ADDRESS dependency with DEVICETREE_ADDRESS as it may fail if you point uboot env variables(reserved memory). Adding new variables DEVICETREE_OVERLAY_OFFSET - To specify DTB overlay offset which will add to DDR base address. DEVICETREE_OVERLAY_PADSIZE - To specify the offset from overlay_offset to load dtbo file. Signed-off-by: Raju Kumar Pothuraju Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb | 12 +++++++++++- 1 file changed, 11 insertions(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb index b26f23ff..a4d7175b 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb @@ -71,7 +71,14 @@ DEVICETREE_OFFSET:zynqmp ?= "0x100000" DEVICETREE_OFFSET:zynq ?= "0x100000" DEVICETREE_OFFSET:versal ?= "0x1000" -DEVICETREE_OVERLAY_ADDRESS ?= "${@hex(int(d.getVar("DEVICETREE_ADDRESS"),16) + 0xf00000)}" +DEVICETREE_OVERLAY_OFFSET:microblaze ?= "0x1e00000" +DEVICETREE_OVERLAY_OFFSET:zynqmp ?= "0x100000" +DEVICETREE_OVERLAY_OFFSET:zynq ?= "0x100000" +DEVICETREE_OVERLAY_OFFSET:versal ?= "0x1000" +DEVICETREE_OVERLAY_PADSIZE ?= "0xf00000" + +DEVICETREE_OVERLAY_ADDRESS ?= "${@hex(int(append_baseaddr(d,d.getVar('DEVICETREE_OVERLAY_OFFSET')),16) \ + + int(d.getVar('DEVICETREE_OVERLAY_PADSIZE'),16))}" KERNEL_LOAD_ADDRESS ?= "${@append_baseaddr(d,d.getVar('KERNEL_OFFSET'))}" @@ -183,6 +190,9 @@ def append_baseaddr(d,offset): skip_append = d.getVar('SKIP_APPEND_BASEADDR') or "" if skip_append == "1": return offset + if offset.startswith('$'): + # If offset startswith '$' Assuming as uboot env variable. + return offset import subprocess baseaddr = d.getVar('DDR_BASEADDR') or "0x0" subcmd = "$((%s+%s));" % (baseaddr,offset) -- cgit v1.2.3-54-g00ecf From 271a41fa327b99fd26fad1903c9be9b4fedcc90a Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Thu, 6 Jul 2023 11:18:02 +0530 Subject: Updated Commit ID gen_config.py: Fix PROOT issue gen_yocto_machine.py:adding baseaddr to BL33 offset gen_config.py: u-boot text base addr Kconfig.part: update BL33 base help Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 42103906..b3910020 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 421039064d6045107a79813685610741669af088 +Subproject commit b391002083094350940b485fb8980f23108ee7e2 -- cgit v1.2.3-54-g00ecf From 530aa59e0b8d5d1fd294f363409cc7d8cf989341 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 6 Jul 2023 12:50:46 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_5299 lib: sw_apps: empty_application: Add missing endif() at the end of the source v_frmbuf_rd: Modified addtogroup in driver src directory v_frmbuf_rd: New video format support is added to driver - Y_U_V8_420 v_frmbuf_rd: MDD version is modified to v4.7 xilpuf: Add IPI Access permissions for PUF module xilocp: Add IPI Access permissions for OCP module xilsecure: Add IPI Access permissions for Secure module xilnvm: Add IPI Access permissions for NVM module xilloader: Add IPI Access permissions for Loader module xilplmi: Add IPI Access permissions for Error module xilplmi: Add SSIT Msg event access validation xilplmi: Add IPI access filtering support xdmapcie: Adds support for SDT flow devcfg: Add support for system device-tree flow Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 039b11da..feded345 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "ef08558128ad75f34df43e59b57928519a56fbf9" +ESW_REV[2023.2] = "e328b74c6bbdb79124b2062e0c0deb17dc1ab7fb" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From b102831374cfa18077178d830251170524d6ba46 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Thu, 6 Jul 2023 16:17:37 +0530 Subject: Updated Commit ID updating u-boot text base properly for microblaze gen-machineconf: Generate machine conf using dt-processor script gen_yocto_machine.py: Add MACHINEOVERRIDES for xsct flow Kconfig.part: Add config option for MACHINEOVERRIDES Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index b3910020..979c4a9c 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit b391002083094350940b485fb8980f23108ee7e2 +Subproject commit 979c4a9ce78bc044bca856d47b20fa82922d8763 -- cgit v1.2.3-54-g00ecf From f93df9425d74cbefbf0a058795045d835f05ad2b Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 6 Jul 2023 16:21:06 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_8291 canps: Fix code formatting issues with checkpatch canps: Add support for system device-tree flow for example canps: Add support for system device-tree flow dfeofdm: Add phase compensation calculation scripts: linker_files: Move the drvcfg_sec to the appropriate location xilsecure: Add crypto check in features command xilcert: Store the Signature of TBS certificate wdtps: Fix code formatting issues with checkpatch wdtps: Add support for system device-tree flow for examples wdtps: Add support for system device-tree flow sw_services:xilocp: Added xilocp library support on soft microblaze iomodule: Generate the MAX_INTR_SIZE define in the xparameters.h file XilinxProcessorIPLib: drivers: tmr_inject: Add support for system device-tree flow XilinxProcessorIPLib: drivers: tmr_manager: Add support for system device-tree flow v_hdmitx1: Move DSC Decoder Error Callback from Lts2 to LtsP xdmapcie: Fix the yaml syntax issue mutex: Fix code formatting issues with checkpatch mutex: Add support for system device-tree flow for example mutex: Add support for system device-tree flow Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index feded345..9902f267 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "e328b74c6bbdb79124b2062e0c0deb17dc1ab7fb" +ESW_REV[2023.2] = "19cd1b5f7cb894a5e4b8da85ea04debe0f7b21dc" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From f2a927bd6b4232dc3a92f4a964151930b7884660 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Fri, 7 Jul 2023 12:45:12 +0530 Subject: Updated Commit ID gen-machineconf: Append plnxtool.conf end of local.conf Kconfig.part: Update DDR DTG offset config to accept string Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 979c4a9c..9a24f9b0 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 979c4a9ce78bc044bca856d47b20fa82922d8763 +Subproject commit 9a24f9b091c0faa77a3c5130cf96fdf574f9b19c -- cgit v1.2.3-54-g00ecf From 5a0fc7912cf0fbc2c755ea53c1033f901a01126f Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 7 Jul 2023 12:51:50 +0530 Subject: aie-rt : Updated SRCREV for 2023.2_7159 driver:src: Trancsation buffer logging fixed. Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index f51a325e..34241ce8 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "9e68cd436359e1c00a237b43dea07ee1cd23af60" +SRCREV ?= "c127f1ca1532a59be766704f26924dc8c1147497" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From 6cbe9d87e3bcebb5fc7e59fe5139eb5eada46169 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 7 Jul 2023 15:28:56 +0530 Subject: aie-rt : Updated SRCREV for 2023.2_3099 driver: src: io_backend: Blocked access to gated tiles driver: src: AIE-driver header clean up Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 34241ce8..53181e91 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "c127f1ca1532a59be766704f26924dc8c1147497" +SRCREV ?= "41e90b123dfdf3d22f7d345be4d7c9cdfc07968a" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From e29dc42309fbe3f4c4d6c3b0a0adac9cba7e8974 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 7 Jul 2023 16:52:57 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_7231 axicdma: Fix code formatting issues with checkpatch axicdma: Add support for system device-tree flow in examples axicdma: Add support for system device-tree flow lib: sw_services: xilpm: Include pm config object sources in CMakeLists.txt lib: bsp: standalone: Update the CMakeLists.txt to include pm_api_version.h header usbpsu: Don't pull microblaze_sleep.h in system device-tree flow lib: sw_apps: zynqmp_pmufw: Update the CMakeLists.txt to inline with latest changes lib: sw_apps: versal_psmfw: Update the CMakeLists.txt to inline with latest changes prc: Fix code formatting issues with checkpatch prc: Add support for system device-tree flow dfeprach: Add SDT support dfemix: Add SDT support dfeequ: Add SDT support cmake: esw: Improve the cmake configure time freertos10_xilinx: Add XPM_SUPPORT flag for versal and versal net bsp: standalone: Update versal and versal net order in NodeId/ResetId list ttcps: Add support for ttc request node prd: Fix code formatting issues with checkpatch prd: Add support for system device-tree flow dfeccf: Add SDT support sw_services: xilsecure: Add example for ECDH sw_services: xilsecure: Add wrapper API for ECDH sw_services: xilsecure: Support to generate shared secret with user private key sw_services: xilocp: Support to generate shared secret with DevAk private key xilpm: versal_common: client: remove ClientWakeup Call zdma: Fix code formatting issues with checkpatch zdma: Add support for system device-tree flow in examples zdma: Add support for system device-tree flow xilpm: versal_net: server: clear the pwrctrl register sd_fec: Modifications for SDT flow Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 9902f267..318e7778 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "19cd1b5f7cb894a5e4b8da85ea04debe0f7b21dc" +ESW_REV[2023.2] = "d65e8f2ce7fdbd09ec60087daa10bf0e89a771e9" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 861c02a8b3e8f72667979bce88f6537335888d48 Mon Sep 17 00:00:00 2001 From: Raju Kumar Pothuraju Date: Tue, 4 Jul 2023 17:16:06 +0530 Subject: dt-processor.sh: Redirect MACHINEOVERRIDES to conf file Redirect MACHINEOVERRIDES to conf file. Signed-off-by: Raju Kumar Pothuraju Signed-off-by: Mark Hatle --- .../recipes-core/meta/files/dt-processor.sh | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh b/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh index 9a76ad97..1c754689 100755 --- a/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh +++ b/meta-xilinx-standalone-experimental/recipes-core/meta/files/dt-processor.sh @@ -886,7 +886,10 @@ MACHINEOVERRIDES =. "\${@['', '${mach_conf}:']['${mach_conf}' != '\${MACHINE}']} EOF if [ -n "${overrides}" ]; then - echo "MACHINEOVERRIDES .= \":${overrides}\"" + cat <>"${conf_file}" +MACHINEOVERRIDES .= ":${overrides}" + +EOF fi if [ "${machine}" == "zynqmp" ]; then -- cgit v1.2.3-54-g00ecf From 1e046b546065b51f018346adad9005776db8d83b Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Thu, 6 Jul 2023 12:49:01 +0530 Subject: Updated SRCREV of vdu-ctrl-sw for 2023.2_5443 Adding support for vdu-auto-instance Signed-off-by: Siva Addepalli Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb index b7f2880e..19db2456 100644 --- a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb @@ -13,7 +13,7 @@ REQUIRED_MACHINE_FEATURES = "vdu" BRANCH ?= "master" REPO ?= "git://github.com/Xilinx/vdu-ctrl-sw.git;protocol=https" -SRCREV ?= "06fc18b303b40d4fee7549ad162c22ee1bc31582" +SRCREV ?= "1beb8f247d01b1a728faea36ce8f7847c895482f" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" -- cgit v1.2.3-54-g00ecf From 076b278f8e15b5d3f23f3cb625399b950c273c99 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Thu, 6 Jul 2023 14:27:29 -0600 Subject: weston: Add Weston 9.0.0 for libmali, disable Weston 10.0.2 with libmali libmali only provides GL ES 2 interfaces, but Weston 10.0.2 requires GL ES 3 interfaces. Disble 10.0.2 version in this case, and fall back to 9.0.0. The 9.0.0 version is based on the last 9.0.0 supported Yocto Project version. One minor change was required, in order to support on-target upgrade from the unmodified 10.0.2 to the libmali 9.0.0 requires a way to enabel PE set to 1. However if the 9.0.0 version doesn't use libmali, we don't want the PE set so that 10.0.2 remains the superior version. Signed-off-by: Mark Hatle --- ...L_EXT_unpack_subimage-not-supported-for-G.patch | 33 ---- .../0002-libmali-does-not-support-gles3.patch | 77 -------- ...ackend-drm-Re-order-gbm-destruction-at-DR.patch | 50 ++++++ .../0001-meson.build-fix-incorrect-header.patch | 32 ++++ ...de-fcntl.h-for-open-O_RDWR-O_CLOEXEC-and-.patch | 47 +++++ ...ch-Provide-a-default-version-that-doesn-t.patch | 199 +++++++++++++++++++++ .../wayland/weston/dont-use-plane-add-prop.patch | 23 +++ .../wayland/weston/systemd-notify.weston-start | 9 + .../recipes-graphics/wayland/weston/weston.desktop | 9 + .../recipes-graphics/wayland/weston/weston.png | Bin 0 -> 2383 bytes .../wayland/weston/xwayland.weston-start | 5 + .../recipes-graphics/wayland/weston_%.bbappend | 13 -- .../wayland/weston_10.0.2.bbappend | 8 + .../recipes-graphics/wayland/weston_9.0.0.bb | 148 +++++++++++++++ 14 files changed, 530 insertions(+), 123 deletions(-) delete mode 100644 meta-xilinx-core/recipes-graphics/wayland/files/0001-libweston-GL_EXT_unpack_subimage-not-supported-for-G.patch delete mode 100644 meta-xilinx-core/recipes-graphics/wayland/files/0002-libmali-does-not-support-gles3.patch create mode 100644 meta-xilinx-core/recipes-graphics/wayland/weston/0001-libweston-backend-drm-Re-order-gbm-destruction-at-DR.patch create mode 100644 meta-xilinx-core/recipes-graphics/wayland/weston/0001-meson.build-fix-incorrect-header.patch create mode 100644 meta-xilinx-core/recipes-graphics/wayland/weston/0001-tests-include-fcntl.h-for-open-O_RDWR-O_CLOEXEC-and-.patch create mode 100644 meta-xilinx-core/recipes-graphics/wayland/weston/0001-weston-launch-Provide-a-default-version-that-doesn-t.patch create mode 100644 meta-xilinx-core/recipes-graphics/wayland/weston/dont-use-plane-add-prop.patch create mode 100644 meta-xilinx-core/recipes-graphics/wayland/weston/systemd-notify.weston-start create mode 100644 meta-xilinx-core/recipes-graphics/wayland/weston/weston.desktop create mode 100644 meta-xilinx-core/recipes-graphics/wayland/weston/weston.png create mode 100644 meta-xilinx-core/recipes-graphics/wayland/weston/xwayland.weston-start create mode 100644 meta-xilinx-core/recipes-graphics/wayland/weston_10.0.2.bbappend create mode 100644 meta-xilinx-core/recipes-graphics/wayland/weston_9.0.0.bb diff --git a/meta-xilinx-core/recipes-graphics/wayland/files/0001-libweston-GL_EXT_unpack_subimage-not-supported-for-G.patch b/meta-xilinx-core/recipes-graphics/wayland/files/0001-libweston-GL_EXT_unpack_subimage-not-supported-for-G.patch deleted file mode 100644 index e2e7dd95..00000000 --- a/meta-xilinx-core/recipes-graphics/wayland/files/0001-libweston-GL_EXT_unpack_subimage-not-supported-for-G.patch +++ /dev/null @@ -1,33 +0,0 @@ -From 354bbf9657385519dceeff6a890a71f9d53e6a8f Mon Sep 17 00:00:00 2001 -From: Parth Gajjar -Date: Tue, 17 Jan 2023 02:04:32 -0800 -Subject: [PATCH] libweston: GL_EXT_unpack_subimage not supported for GLES2.0 - -Removing GL_EXT_unpack_subimage as not supported by GLES2.0 - -Signed-off-by: Parth Gajjar ---- - libweston/renderer-gl/gl-renderer.c | 2 ++ - 1 file changed, 2 insertions(+) - -diff --git a/libweston/renderer-gl/gl-renderer.c b/libweston/renderer-gl/gl-renderer.c -index 613ddf4..046a26f 100644 ---- a/libweston/renderer-gl/gl-renderer.c -+++ b/libweston/renderer-gl/gl-renderer.c -@@ -3941,11 +3941,13 @@ gl_renderer_setup(struct weston_compositor *ec, EGLSurface egl_surface) - else - ec->read_format = PIXMAN_a8b8g8r8; - -+#if 0 - if (gr->gl_version < gr_gl_version(3, 0) && - !weston_check_egl_extension(extensions, "GL_EXT_unpack_subimage")) { - weston_log("GL_EXT_unpack_subimage not available.\n"); - return -1; - } -+#endif - - if (gr->gl_version >= gr_gl_version(3, 0) || - weston_check_egl_extension(extensions, "GL_EXT_texture_type_2_10_10_10_REV")) --- -2.34.1 - diff --git a/meta-xilinx-core/recipes-graphics/wayland/files/0002-libmali-does-not-support-gles3.patch b/meta-xilinx-core/recipes-graphics/wayland/files/0002-libmali-does-not-support-gles3.patch deleted file mode 100644 index d4dadc7c..00000000 --- a/meta-xilinx-core/recipes-graphics/wayland/files/0002-libmali-does-not-support-gles3.patch +++ /dev/null @@ -1,77 +0,0 @@ -libmali does not support libgles3 - -Build in compatible ifdefs where necessary to workaround slight differences -between GLES2 (mali) and GLES3 (mesa) that Weston is expecting. - -Signed-off-by: Mark Hatle - -diff -urN a/libweston/renderer-gl/gl-renderer.c b/libweston/renderer-gl/gl-renderer.c ---- a/libweston/renderer-gl/gl-renderer.c 2022-07-26 03:22:25.000000000 -0700 -+++ b/libweston/renderer-gl/gl-renderer.c 2022-10-26 16:25:13.497247422 -0700 -@@ -29,7 +29,7 @@ - - #include - #include --#include -+/*#include */ - - #include - #include -@@ -1822,7 +1822,13 @@ - return GL_RED_EXT; - case GL_RG8_EXT: - return GL_RG_EXT; -+#ifndef GL_RGBA16F -+#define GL_RGBA16F GL_RGBA16F_EXT -+#endif - case GL_RGBA16F: -+#ifndef GL_RGB10_A2 -+#define GL_RGB10_A2 GL_RGB10_A2_EXT -+#endif - case GL_RGB10_A2: - return GL_RGBA; - default: -@@ -2023,6 +2029,9 @@ - gs->shader_variant = SHADER_VARIANT_RGBA; - pitch = wl_shm_buffer_get_stride(shm_buffer) / 8; - gl_format[0] = GL_RGBA16F; -+#ifndef GL_HALF_FLOAT -+#define GL_HALF_FLOAT GL_HALF_FLOAT_OES -+#endif - gl_pixel_type = GL_HALF_FLOAT; - es->is_opaque = false; - break; -diff -urN a/libweston/renderer-gl/gl-shader-config-color-transformation.c b/libweston/renderer-gl/gl-shader-config-color-transformation.c ---- a/libweston/renderer-gl/gl-shader-config-color-transformation.c 2022-07-26 03:22:25.000000000 -0700 -+++ b/libweston/renderer-gl/gl-shader-config-color-transformation.c 2022-07-26 03:22:25.000000000 -0700 -@@ -25,7 +25,8 @@ - - #include "config.h" - --#include -+#include -+/*#include */ - #include - - #include -@@ -138,6 +139,9 @@ - glPixelStorei(GL_UNPACK_ROW_LENGTH_EXT, 0); - glPixelStorei(GL_UNPACK_SKIP_PIXELS_EXT, 0); - glPixelStorei(GL_UNPACK_SKIP_ROWS_EXT, 0); -+#ifndef GL_R32F -+#define GL_R32F GL_R32F_EXT -+#endif - glTexImage2D(GL_TEXTURE_2D, 0, GL_R32F, lut_len, nr_rows, 0, - GL_RED_EXT, GL_FLOAT, lut); - -diff -ur a/shared/weston-egl-ext.h b/shared/weston-egl-ext.h ---- a/shared/weston-egl-ext.h 2022-07-26 03:22:25.000000000 -0700 -+++ b/shared/weston-egl-ext.h 2022-10-26 17:11:28.501107772 -0700 -@@ -150,6 +150,7 @@ - #define EGL_NO_DEVICE_EXT EGL_CAST(EGLDeviceEXT,0) - #define EGL_BAD_DEVICE_EXT 0x322B - #define EGL_DEVICE_EXT 0x322C -+#define EGLAttrib EGLAttribKHR - typedef EGLBoolean (EGLAPIENTRYP PFNEGLQUERYDEVICEATTRIBEXTPROC) (EGLDeviceEXT device, EGLint attribute, EGLAttrib *value); - typedef const char *(EGLAPIENTRYP PFNEGLQUERYDEVICESTRINGEXTPROC) (EGLDeviceEXT device, EGLint name); - typedef EGLBoolean (EGLAPIENTRYP PFNEGLQUERYDEVICESEXTPROC) (EGLint max_devices, EGLDeviceEXT *devices, EGLint *num_devices); diff --git a/meta-xilinx-core/recipes-graphics/wayland/weston/0001-libweston-backend-drm-Re-order-gbm-destruction-at-DR.patch b/meta-xilinx-core/recipes-graphics/wayland/weston/0001-libweston-backend-drm-Re-order-gbm-destruction-at-DR.patch new file mode 100644 index 00000000..f8f75894 --- /dev/null +++ b/meta-xilinx-core/recipes-graphics/wayland/weston/0001-libweston-backend-drm-Re-order-gbm-destruction-at-DR.patch @@ -0,0 +1,50 @@ +From c8bfa1f8d576cdc6d515dbbac36c48c6166be0d5 Mon Sep 17 00:00:00 2001 +From: Marius Vlad +Date: Thu, 1 Apr 2021 00:12:00 +0300 +Subject: [PATCH] libweston/backend-drm: Re-order gbm destruction at DRM-backend tear down + +Tearing down the drm-backend when there are no input devices, would call +for the gbm device destruction before compositor shutdown. The latter +would call into the renderer detroy function and assume that the +EGLDisplay, which was created using the before-mentioned gbm device, is +still available. This patch re-orders the gbm destruction after the +compositor shutdown when no one would make use of it. + +Fixes: #314 + +Signed-off-by: Marius Vlad +Suggested-by: Daniel Stone + +Upstream-Status: Backport [https://gitlab.freedesktop.org/wayland/weston/-/commit/d171c7b3ba346c4d0bd6494f45ebf0be3c3cc5fb] +--- + libweston/backend-drm/drm.c | 8 ++++---- + 1 file changed, 4 insertions(+), 4 deletions(-) + +diff --git a/libweston/backend-drm/drm.c b/libweston/backend-drm/drm.c +index 2780f3b..fbcfeca 100644 +--- a/libweston/backend-drm/drm.c ++++ b/libweston/backend-drm/drm.c +@@ -3025,10 +3025,6 @@ err_drm_source: + err_udev_input: + udev_input_destroy(&b->input); + err_sprite: +-#ifdef BUILD_DRM_GBM +- if (b->gbm) +- gbm_device_destroy(b->gbm); +-#endif + destroy_sprites(b); + err_udev_dev: + udev_device_unref(drm_device); +@@ -3038,6 +3034,10 @@ err_launcher: + weston_launcher_destroy(compositor->launcher); + err_compositor: + weston_compositor_shutdown(compositor); ++#ifdef BUILD_DRM_GBM ++ if (b->gbm) ++ gbm_device_destroy(b->gbm); ++#endif + free(b); + return NULL; + } +-- +2.33.0 diff --git a/meta-xilinx-core/recipes-graphics/wayland/weston/0001-meson.build-fix-incorrect-header.patch b/meta-xilinx-core/recipes-graphics/wayland/weston/0001-meson.build-fix-incorrect-header.patch new file mode 100644 index 00000000..06e0f7ba --- /dev/null +++ b/meta-xilinx-core/recipes-graphics/wayland/weston/0001-meson.build-fix-incorrect-header.patch @@ -0,0 +1,32 @@ +From a2ba4714a6872e547621d29d9ddcb0f374b88cf6 Mon Sep 17 00:00:00 2001 +From: Chen Qi +Date: Tue, 20 Apr 2021 20:42:18 -0700 +Subject: [PATCH] meson.build: fix incorrect header + +The wayland.c actually include 'xdg-shell-client-protocol.h' instead of +the server one, so fix it. Otherwise, it's possible to get build failure +due to race condition. + +Upstream-Status: Pending + +Signed-off-by: Chen Qi +--- + libweston/backend-wayland/meson.build | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +diff --git a/libweston/backend-wayland/meson.build b/libweston/backend-wayland/meson.build +index 7e82513..29270b5 100644 +--- a/libweston/backend-wayland/meson.build ++++ b/libweston/backend-wayland/meson.build +@@ -10,7 +10,7 @@ srcs_wlwl = [ + fullscreen_shell_unstable_v1_protocol_c, + presentation_time_protocol_c, + presentation_time_server_protocol_h, +- xdg_shell_server_protocol_h, ++ xdg_shell_client_protocol_h, + xdg_shell_protocol_c, + ] + +-- +2.30.2 + diff --git a/meta-xilinx-core/recipes-graphics/wayland/weston/0001-tests-include-fcntl.h-for-open-O_RDWR-O_CLOEXEC-and-.patch b/meta-xilinx-core/recipes-graphics/wayland/weston/0001-tests-include-fcntl.h-for-open-O_RDWR-O_CLOEXEC-and-.patch new file mode 100644 index 00000000..6fe86ff3 --- /dev/null +++ b/meta-xilinx-core/recipes-graphics/wayland/weston/0001-tests-include-fcntl.h-for-open-O_RDWR-O_CLOEXEC-and-.patch @@ -0,0 +1,47 @@ +From 58760e09eed662a72da939ff4802d605489cff8e Mon Sep 17 00:00:00 2001 +From: Denys Dmytriyenko +Date: Tue, 8 Sep 2020 19:37:42 -0400 +Subject: [PATCH] tests: include fcntl.h for open(), O_RDWR, O_CLOEXEC and + O_CREAT + +musl libc (unlike glibc) requires explicitly incuding fcntl.h to define open(), +O_RDWR, O_CLOEXEC and O_CREAT. Otherwise the build fails with the errors: + +| ../weston-9.0.0/tests/weston-test-fixture-compositor.c: In function 'wait_for_lock': +| ../weston-9.0.0/tests/weston-test-fixture-compositor.c:135:7: warning: implicit declaration of function 'open'; did you mean 'popen'? [-Wimplicit-function-declaration] +| 135 | fd = open(lock_path, O_RDWR | O_CLOEXEC | O_CREAT, 00700); +| | ^~~~ +| | popen +| ../weston-9.0.0/tests/weston-test-fixture-compositor.c:135:23: error: 'O_RDWR' undeclared (first use in this function) +| 135 | fd = open(lock_path, O_RDWR | O_CLOEXEC | O_CREAT, 00700); +| | ^~~~~~ +| ../weston-9.0.0/tests/weston-test-fixture-compositor.c:135:23: note: each undeclared identifier is reported only once for each function it appears in +| ../weston-9.0.0/tests/weston-test-fixture-compositor.c:135:32: error: 'O_CLOEXEC' undeclared (first use in this function) +| 135 | fd = open(lock_path, O_RDWR | O_CLOEXEC | O_CREAT, 00700); +| | ^~~~~~~~~ +| ../weston-9.0.0/tests/weston-test-fixture-compositor.c:135:44: error: 'O_CREAT' undeclared (first use in this function) +| 135 | fd = open(lock_path, O_RDWR | O_CLOEXEC | O_CREAT, 00700); +| | ^~~~~~~ + +Upstream-Status: Submitted [https://gitlab.freedesktop.org/wayland/weston/-/merge_requests/493/diffs?commit_id=b10c0e843dcb8148bbe869bb15261955b94ac98c] + +Signed-off-by: Denys Dmytriyenko +--- + tests/weston-test-fixture-compositor.c | 1 + + 1 file changed, 1 insertion(+) + +diff --git a/tests/weston-test-fixture-compositor.c b/tests/weston-test-fixture-compositor.c +index 0c9855f..e0e32c9 100644 +--- a/tests/weston-test-fixture-compositor.c ++++ b/tests/weston-test-fixture-compositor.c +@@ -31,6 +31,7 @@ + #include + #include + #include ++#include + + #include "shared/helpers.h" + #include "weston-test-fixture-compositor.h" +-- +2.7.4 + diff --git a/meta-xilinx-core/recipes-graphics/wayland/weston/0001-weston-launch-Provide-a-default-version-that-doesn-t.patch b/meta-xilinx-core/recipes-graphics/wayland/weston/0001-weston-launch-Provide-a-default-version-that-doesn-t.patch new file mode 100644 index 00000000..f6ebfd8f --- /dev/null +++ b/meta-xilinx-core/recipes-graphics/wayland/weston/0001-weston-launch-Provide-a-default-version-that-doesn-t.patch @@ -0,0 +1,199 @@ +From a1548c742bf2dedbb47282d8a00407b60bbab669 Mon Sep 17 00:00:00 2001 +From: Tom Hochstein +Date: Wed, 22 Feb 2017 15:53:30 +0200 +Subject: [PATCH] weston-launch: Provide a default version that doesn't require + + PAM + +weston-launch requires PAM for starting weston as a non-root user. + +Since starting weston as root is a valid use case by itself, if +PAM is not available, provide a default version of weston-launch +without non-root-user support. + +Upstream-Status: Denied [https://gitlab.freedesktop.org/wayland/weston/-/merge_requests/725] + +Signed-off-by: Tom Hochstein +Signed-off-by: Jussi Kukkonen +Signed-off-by: Denys Dmytriyenko +Signed-off-by: Ming Liu + +--- + libweston/meson.build | 16 ++++++++++++---- + libweston/weston-launch.c | 21 +++++++++++++++++++++ + meson_options.txt | 7 +++++++ + 3 files changed, 40 insertions(+), 4 deletions(-) + +diff --git a/libweston/meson.build b/libweston/meson.build +index 08d23ec..cb9fd3f 100644 +--- a/libweston/meson.build ++++ b/libweston/meson.build +@@ -216,16 +216,24 @@ dep_vertex_clipping = declare_dependency( + ) + + if get_option('weston-launch') +- dep_pam = cc.find_library('pam') ++ deps_weston_launch = [systemd_dep, dep_libdrm] + +- if not cc.has_function('pam_open_session', dependencies: dep_pam) +- error('pam_open_session not found for weston-launch') ++ if get_option('pam') ++ dep_pam = cc.find_library('pam') ++ if not cc.has_function('pam_open_session', dependencies: dep_pam) ++ error('pam_open_session not found for weston-launch') ++ endif ++ ++ if dep_pam.found() ++ deps_weston_launch += dep_pam ++ config_h.set('HAVE_PAM', '1') ++ endif + endif + + executable( + 'weston-launch', + 'weston-launch.c', +- dependencies: [dep_pam, systemd_dep, dep_libdrm], ++ dependencies: deps_weston_launch, + include_directories: common_inc, + install: true + ) +diff --git a/libweston/weston-launch.c b/libweston/weston-launch.c +index 521cb2c..2d42d33 100644 +--- a/libweston/weston-launch.c ++++ b/libweston/weston-launch.c +@@ -51,7 +51,9 @@ + + #include + #include ++#ifdef HAVE_PAM + #include ++#endif + + #ifdef HAVE_SYSTEMD_LOGIN + #include +@@ -100,8 +102,10 @@ drmSetMaster(int drm_fd) + #endif + + struct weston_launch { ++#ifdef HAVE_PAM + struct pam_conv pc; + pam_handle_t *ph; ++#endif + int tty; + int ttynr; + int sock[2]; +@@ -192,6 +196,7 @@ weston_launch_allowed(struct weston_launch *wl) + return false; + } + ++#ifdef HAVE_PAM + static int + pam_conversation_fn(int msg_count, + const struct pam_message **messages, +@@ -232,6 +237,7 @@ setup_pam(struct weston_launch *wl) + + return 0; + } ++#endif + + static int + setup_launcher_socket(struct weston_launch *wl) +@@ -466,6 +472,7 @@ quit(struct weston_launch *wl, int status) + close(wl->signalfd); + close(wl->sock[0]); + ++#ifdef HAVE_PAM + if (wl->new_user) { + err = pam_close_session(wl->ph, 0); + if (err) +@@ -473,6 +480,7 @@ quit(struct weston_launch *wl, int status) + err, pam_strerror(wl->ph, err)); + pam_end(wl->ph, err); + } ++#endif + + /* + * Get a fresh handle to the tty as the previous one is in +@@ -710,6 +718,7 @@ setup_session(struct weston_launch *wl, char **child_argv) + setenv("HOME", wl->pw->pw_dir, 1); + setenv("SHELL", wl->pw->pw_shell, 1); + ++#ifdef HAVE_PAM + env = pam_getenvlist(wl->ph); + if (env) { + for (i = 0; env[i]; ++i) { +@@ -718,6 +727,7 @@ setup_session(struct weston_launch *wl, char **child_argv) + } + free(env); + } ++#endif + + /* + * We open a new session, so it makes sense +@@ -789,8 +799,10 @@ static void + help(const char *name) + { + fprintf(stderr, "Usage: %s [args...] [-- [weston args..]]\n", name); ++#ifdef HAVE_PAM + fprintf(stderr, " -u, --user Start session as specified username,\n" + " e.g. -u joe, requires root.\n"); ++#endif + fprintf(stderr, " -t, --tty Start session on alternative tty,\n" + " e.g. -t /dev/tty4, requires -u option.\n"); + fprintf(stderr, " -v, --verbose Be verbose\n"); +@@ -804,7 +816,9 @@ main(int argc, char *argv[]) + int i, c; + char *tty = NULL; + struct option opts[] = { ++#ifdef HAVE_PAM + { "user", required_argument, NULL, 'u' }, ++#endif + { "tty", required_argument, NULL, 't' }, + { "verbose", no_argument, NULL, 'v' }, + { "help", no_argument, NULL, 'h' }, +@@ -816,11 +830,16 @@ main(int argc, char *argv[]) + while ((c = getopt_long(argc, argv, "u:t:vh", opts, &i)) != -1) { + switch (c) { + case 'u': ++#ifdef HAVE_PAM + wl.new_user = optarg; + if (getuid() != 0) { + fprintf(stderr, "weston: Permission denied. -u allowed for root only\n"); + exit(EXIT_FAILURE); + } ++#else ++ fprintf(stderr, "weston: -u is unsupported in this weston-launch build\n"); ++ exit(EXIT_FAILURE); ++#endif + break; + case 't': + tty = optarg; +@@ -872,8 +891,10 @@ main(int argc, char *argv[]) + if (setup_tty(&wl, tty) < 0) + exit(EXIT_FAILURE); + ++#ifdef HAVE_PAM + if (wl.new_user && setup_pam(&wl) < 0) + exit(EXIT_FAILURE); ++#endif + + if (setup_launcher_socket(&wl) < 0) + exit(EXIT_FAILURE); +diff --git a/meson_options.txt b/meson_options.txt +index 239bd2d..99e4ec3 100644 +--- a/meson_options.txt ++++ b/meson_options.txt +@@ -73,6 +73,13 @@ option( + ) + + option( ++ 'pam', ++ type: 'boolean', ++ value: true, ++ description: 'Define if PAM is available' ++) ++ ++option( + 'xwayland', + type: 'boolean', + value: true, diff --git a/meta-xilinx-core/recipes-graphics/wayland/weston/dont-use-plane-add-prop.patch b/meta-xilinx-core/recipes-graphics/wayland/weston/dont-use-plane-add-prop.patch new file mode 100644 index 00000000..a4444e5d --- /dev/null +++ b/meta-xilinx-core/recipes-graphics/wayland/weston/dont-use-plane-add-prop.patch @@ -0,0 +1,23 @@ +Fix atomic modesetting with musl + +atomic modesetting seems to fail with drm weston backend and this patch fixes +it, below errors are seen before weston exits + +atomic: couldn't commit new state: Invalid argument + +Upstream-Status: Submitted [https://gitlab.freedesktop.org/wayland/weston/-/issues/158] +Signed-off-by: Khem Raj + +--- a/libweston/backend-drm/kms.c ++++ b/libweston/backend-drm/kms.c +@@ -1168,8 +1168,8 @@ drm_pending_state_apply_atomic(struct dr + wl_list_for_each(plane, &b->plane_list, link) { + drm_debug(b, "\t\t[atomic] starting with plane %lu disabled\n", + (unsigned long) plane->plane_id); +- plane_add_prop(req, plane, WDRM_PLANE_CRTC_ID, 0); +- plane_add_prop(req, plane, WDRM_PLANE_FB_ID, 0); ++ //plane_add_prop(req, plane, WDRM_PLANE_CRTC_ID, 0); ++ //plane_add_prop(req, plane, WDRM_PLANE_FB_ID, 0); + } + + flags |= DRM_MODE_ATOMIC_ALLOW_MODESET; diff --git a/meta-xilinx-core/recipes-graphics/wayland/weston/systemd-notify.weston-start b/meta-xilinx-core/recipes-graphics/wayland/weston/systemd-notify.weston-start new file mode 100644 index 00000000..a97e7b38 --- /dev/null +++ b/meta-xilinx-core/recipes-graphics/wayland/weston/systemd-notify.weston-start @@ -0,0 +1,9 @@ +#!/bin/sh + +# SPDX-FileCopyrightText: Huawei Inc. +# SPDX-License-Identifier: Apache-2.0 + + +if [[ -x "/usr/lib/weston/systemd-notify.so" ]]; then + add_weston_module "systemd-notify.so" +fi diff --git a/meta-xilinx-core/recipes-graphics/wayland/weston/weston.desktop b/meta-xilinx-core/recipes-graphics/wayland/weston/weston.desktop new file mode 100644 index 00000000..1086ae8b --- /dev/null +++ b/meta-xilinx-core/recipes-graphics/wayland/weston/weston.desktop @@ -0,0 +1,9 @@ +[Desktop Entry] +Encoding=UTF-8 +Type=Application +Name=Weston +Comment=Wayland Compostitor +Exec=weston +Icon=weston +Terminal=false +Categories=Utility; diff --git a/meta-xilinx-core/recipes-graphics/wayland/weston/weston.png b/meta-xilinx-core/recipes-graphics/wayland/weston/weston.png new file mode 100644 index 00000000..ea8b7e0e Binary files /dev/null and b/meta-xilinx-core/recipes-graphics/wayland/weston/weston.png differ diff --git a/meta-xilinx-core/recipes-graphics/wayland/weston/xwayland.weston-start b/meta-xilinx-core/recipes-graphics/wayland/weston/xwayland.weston-start new file mode 100644 index 00000000..db384b1a --- /dev/null +++ b/meta-xilinx-core/recipes-graphics/wayland/weston/xwayland.weston-start @@ -0,0 +1,5 @@ +#!/bin/sh + +if type Xwayland >/dev/null 2>/dev/null; then + mkdir -p /tmp/.X11-unix +fi diff --git a/meta-xilinx-core/recipes-graphics/wayland/weston_%.bbappend b/meta-xilinx-core/recipes-graphics/wayland/weston_%.bbappend index bb6413a8..edb77fc5 100644 --- a/meta-xilinx-core/recipes-graphics/wayland/weston_%.bbappend +++ b/meta-xilinx-core/recipes-graphics/wayland/weston_%.bbappend @@ -9,19 +9,6 @@ DEFAULT_PACKAGE_ARCH:zynqmp = "${SOC_FAMILY_ARCH}" PACKAGE_ARCH = "${DEFAULT_PACKAGE_ARCH}" -# mali400 specific items -LIBMALI_SRC_URI = " \ - file://0001-libweston-GL_EXT_unpack_subimage-not-supported-for-G.patch \ - file://0002-libmali-does-not-support-gles3.patch \ -" -MALI_SRC_URI = "${@bb.utils.contains('DISTRO_FEATURES', 'libmali', '${LIBMALI_SRC_URI}', '', d)}" -SRC_URI:append = "${@bb.utils.contains('MACHINE_FEATURES', 'mali400', ' ${MALI_SRC_URI}', '', d)}" - -# Skip dmabuf-feedback, as it requires gbm >= 21.1.1, mali-xlnx only provides 17.3 -DEFAULT_SIMPLECLIENTS := "${SIMPLECLIENTS}" -MALI_SIMPLECLIENTS = "${@bb.utils.contains('DISTRO_FEATURES', 'libmali', 'damage,im,egl,shm,touch,dmabuf-v4l,dmabuf-egl', '${DEFAULT_SIMPLECLIENTS}', d)}" -SIMPLECLIENTS = "${@bb.utils.contains('MACHINE_FEATURES', 'mali400', '${MALI_SIMPLECLIENTS}', '${DEFAULT_SIMPLECLIENTS}', d)}" - # Links to libmali-xlnx, so it becomes MACHINE_ARCH specific DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" diff --git a/meta-xilinx-core/recipes-graphics/wayland/weston_10.0.2.bbappend b/meta-xilinx-core/recipes-graphics/wayland/weston_10.0.2.bbappend new file mode 100644 index 00000000..18396c5e --- /dev/null +++ b/meta-xilinx-core/recipes-graphics/wayland/weston_10.0.2.bbappend @@ -0,0 +1,8 @@ +ZYNQMP_WARN_DEFAULT = "0" +ZYNQMP_WARN_DEFAULT:zynqmp = "${@bb.utils.contains('DISTRO_FEATURES', 'libmali', '1', '0', d)}" +ZYNQMP_WARN = "${@bb.utils.contains('MACHINE_FEATURES', 'mali400', '${ZYNQMP_WARN_DEFAULT}', '0', d)}" + +python() { + if d.getVar('ZYNQMP_WARN') == "1": + raise bb.parse.SkipRecipe("Weston 10.0.0.2 requires GLES 3 interfaces which are not available when libmali enabled. Use Weston 9.0.0.0 instead.") +} diff --git a/meta-xilinx-core/recipes-graphics/wayland/weston_9.0.0.bb b/meta-xilinx-core/recipes-graphics/wayland/weston_9.0.0.bb new file mode 100644 index 00000000..a534b1b7 --- /dev/null +++ b/meta-xilinx-core/recipes-graphics/wayland/weston_9.0.0.bb @@ -0,0 +1,148 @@ +SUMMARY = "Weston, a Wayland compositor" +DESCRIPTION = "Weston is the reference implementation of a Wayland compositor" +HOMEPAGE = "http://wayland.freedesktop.org" +LICENSE = "MIT" +LIC_FILES_CHKSUM = "file://COPYING;md5=d79ee9e66bb0f95d3386a7acae780b70 \ + file://libweston/compositor.c;endline=27;md5=6c53bbbd99273f4f7c4affa855c33c0a" + +# We want this version to be "newer" then 10, only if libmali and mali400 are both enabled +ORIG_PE := "${PE}" +MALI_PE = "${@bb.utils.contains('DISTRO_FEATURES', 'libmali', '1', '${ORIG_PE}', d)}" +PE = "${@bb.utils.contains('MACHINE_FEATURES', 'mali400', '${MALI_PE}', '${ORIG_PE}', d)}" + +SRC_URI = "https://wayland.freedesktop.org/releases/${BPN}-${PV}.tar.xz \ + file://weston.png \ + file://weston.desktop \ + file://xwayland.weston-start \ + file://systemd-notify.weston-start \ + file://0001-weston-launch-Provide-a-default-version-that-doesn-t.patch \ + file://0001-tests-include-fcntl.h-for-open-O_RDWR-O_CLOEXEC-and-.patch \ + file://0001-meson.build-fix-incorrect-header.patch \ + file://0001-libweston-backend-drm-Re-order-gbm-destruction-at-DR.patch \ +" + +SRC_URI:append:libc-musl = " file://dont-use-plane-add-prop.patch " + +SRC_URI[sha256sum] = "5cf5d6ce192e0eb15c1fc861a436bf21b5bb3b91dbdabbdebe83e1f83aa098fe" + +UPSTREAM_CHECK_URI = "https://wayland.freedesktop.org/releases.html" + +inherit meson pkgconfig useradd features_check +# depends on virtual/egl +# weston-init requires pam enabled if started via systemd +REQUIRED_DISTRO_FEATURES = "opengl ${@oe.utils.conditional('VIRTUAL-RUNTIME_init_manager', 'systemd', 'pam', '', d)}" + +DEPENDS = "libxkbcommon gdk-pixbuf pixman cairo glib-2.0" +DEPENDS += "wayland wayland-protocols libinput virtual/egl pango wayland-native" + +LDFLAGS += "${@bb.utils.contains('DISTRO_FEATURES', 'lto', '-Wl,-z,undefs', '', d)}" + +WESTON_MAJOR_VERSION = "${@'.'.join(d.getVar('PV').split('.')[0:1])}" + +EXTRA_OEMESON += "-Dbackend-default=auto -Dpipewire=false" + +PACKAGECONFIG ??= "${@bb.utils.contains('DISTRO_FEATURES', 'wayland', 'kms fbdev wayland egl clients', '', d)} \ + ${@bb.utils.contains('DISTRO_FEATURES', 'x11 wayland', 'xwayland', '', d)} \ + ${@bb.utils.filter('DISTRO_FEATURES', 'pam systemd x11', d)} \ + ${@bb.utils.contains_any('DISTRO_FEATURES', 'wayland x11', '', 'headless', d)} \ + launch \ + image-jpeg \ + screenshare \ + shell-desktop \ + shell-fullscreen \ + shell-ivi" + +# +# Compositor choices +# +# Weston on KMS +PACKAGECONFIG[kms] = "-Dbackend-drm=true,-Dbackend-drm=false,drm udev virtual/egl virtual/libgles2 virtual/libgbm mtdev" +# Weston on Wayland (nested Weston) +PACKAGECONFIG[wayland] = "-Dbackend-wayland=true,-Dbackend-wayland=false,virtual/egl virtual/libgles2" +# Weston on X11 +PACKAGECONFIG[x11] = "-Dbackend-x11=true,-Dbackend-x11=false,virtual/libx11 libxcb libxcb libxcursor cairo" +# Headless Weston +PACKAGECONFIG[headless] = "-Dbackend-headless=true,-Dbackend-headless=false" +# Weston on framebuffer +PACKAGECONFIG[fbdev] = "-Dbackend-fbdev=true,-Dbackend-fbdev=false,udev mtdev" +# Weston on RDP +PACKAGECONFIG[rdp] = "-Dbackend-rdp=true,-Dbackend-rdp=false,freerdp" +# weston-launch +PACKAGECONFIG[launch] = "-Dweston-launch=true,-Dweston-launch=false,drm" +# VA-API desktop recorder +PACKAGECONFIG[vaapi] = "-Dbackend-drm-screencast-vaapi=true,-Dbackend-drm-screencast-vaapi=false,libva" +# Weston with EGL support +PACKAGECONFIG[egl] = "-Drenderer-gl=true,-Drenderer-gl=false,virtual/egl" +# Weston with lcms support +PACKAGECONFIG[lcms] = "-Dcolor-management-lcms=true,-Dcolor-management-lcms=false,lcms" +# Weston with webp support +PACKAGECONFIG[webp] = "-Dimage-webp=true,-Dimage-webp=false,libwebp" +# Weston with systemd-login support +PACKAGECONFIG[systemd] = "-Dsystemd=true -Dlauncher-logind=true,-Dsystemd=false -Dlauncher-logind=false,systemd dbus" +# Weston with Xwayland support (requires X11 and Wayland) +PACKAGECONFIG[xwayland] = "-Dxwayland=true,-Dxwayland=false" +# colord CMS support +PACKAGECONFIG[colord] = "-Dcolor-management-colord=true,-Dcolor-management-colord=false,colord" +# Clients support +PACKAGECONFIG[clients] = "-Dsimple-clients=all -Ddemo-clients=true,-Dsimple-clients= -Ddemo-clients=false" +# Virtual remote output with GStreamer on DRM backend +PACKAGECONFIG[remoting] = "-Dremoting=true,-Dremoting=false,gstreamer1.0 gstreamer1.0-plugins-base" +# Weston with PAM support +PACKAGECONFIG[pam] = "-Dpam=true,-Dpam=false,libpam" +# Weston with screen-share support +PACKAGECONFIG[screenshare] = "-Dscreenshare=true,-Dscreenshare=false" +# Traditional desktop shell +PACKAGECONFIG[shell-desktop] = "-Dshell-desktop=true,-Dshell-desktop=false" +# Fullscreen shell +PACKAGECONFIG[shell-fullscreen] = "-Dshell-fullscreen=true,-Dshell-fullscreen=false" +# In-Vehicle Infotainment (IVI) shell +PACKAGECONFIG[shell-ivi] = "-Dshell-ivi=true,-Dshell-ivi=false" +# JPEG image loading support +PACKAGECONFIG[image-jpeg] = "-Dimage-jpeg=true,-Dimage-jpeg=false, jpeg" + +do_install:append() { + # Weston doesn't need the .la files to load modules, so wipe them + rm -f ${D}/${libdir}/libweston-${WESTON_MAJOR_VERSION}/*.la + + # If X11, ship a desktop file to launch it + if [ "${@bb.utils.filter('DISTRO_FEATURES', 'x11', d)}" ]; then + install -d ${D}${datadir}/applications + install ${WORKDIR}/weston.desktop ${D}${datadir}/applications + + install -d ${D}${datadir}/icons/hicolor/48x48/apps + install ${WORKDIR}/weston.png ${D}${datadir}/icons/hicolor/48x48/apps + fi + + if [ "${@bb.utils.contains('PACKAGECONFIG', 'xwayland', 'yes', 'no', d)}" = "yes" ]; then + install -Dm 644 ${WORKDIR}/xwayland.weston-start ${D}${datadir}/weston-start/xwayland + fi + + if [ "${@bb.utils.contains('PACKAGECONFIG', 'systemd', 'yes', 'no', d)}" = "yes" ]; then + install -Dm 644 ${WORKDIR}/systemd-notify.weston-start ${D}${datadir}/weston-start/systemd-notify + fi + + if [ "${@bb.utils.contains('PACKAGECONFIG', 'launch', 'yes', 'no', d)}" = "yes" ]; then + chmod u+s ${D}${bindir}/weston-launch + fi +} + +PACKAGES += "${@bb.utils.contains('PACKAGECONFIG', 'xwayland', '${PN}-xwayland', '', d)} \ + libweston-${WESTON_MAJOR_VERSION} ${PN}-examples" + +FILES:${PN}-dev += "${libdir}/${BPN}/libexec_weston.so" +FILES:${PN} = "${bindir}/weston ${bindir}/weston-terminal ${bindir}/weston-info ${bindir}/weston-launch ${bindir}/wcap-decode ${libexecdir} ${libdir}/${BPN}/*.so* ${datadir}" + +FILES:libweston-${WESTON_MAJOR_VERSION} = "${libdir}/lib*${SOLIBS} ${libdir}/libweston-${WESTON_MAJOR_VERSION}/*.so" +SUMMARY:libweston-${WESTON_MAJOR_VERSION} = "Helper library for implementing 'wayland window managers'." + +FILES:${PN}-examples = "${bindir}/*" + +FILES:${PN}-xwayland = "${libdir}/libweston-${WESTON_MAJOR_VERSION}/xwayland.so" +RDEPENDS:${PN}-xwayland += "xwayland" + +RDEPENDS:${PN} += "xkeyboard-config" +RRECOMMENDS:${PN} = "weston-init liberation-fonts" +RRECOMMENDS:${PN}-dev += "wayland-protocols" + +USERADD_PACKAGES = "${PN}" +GROUPADD_PARAM:${PN} = "--system weston-launch" -- cgit v1.2.3-54-g00ecf From 6fa2417366707790e81f61470c52d0482b446351 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Thu, 6 Jul 2023 00:31:08 -0600 Subject: dfx_user_dts: Rename fpgamanager_custom to dfx_user_dts bbclass Currently fpgamanager bbclass name doesn't fit for all design use cases nd soc architecture. Since dfx manager tools is used for loading and unloading .bit or .pdi and dt overlay for both flat and dfx design, So it makes more appropriate to rename fpgamanager_custom.bbclass to dfx_user_dts.bbclass. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-core/classes/dfx_user_dts.bbclass | 177 ++++++++++++++++++++++++++ 1 file changed, 177 insertions(+) create mode 100644 meta-xilinx-core/classes/dfx_user_dts.bbclass diff --git a/meta-xilinx-core/classes/dfx_user_dts.bbclass b/meta-xilinx-core/classes/dfx_user_dts.bbclass new file mode 100644 index 00000000..1f45cf12 --- /dev/null +++ b/meta-xilinx-core/classes/dfx_user_dts.bbclass @@ -0,0 +1,177 @@ +# This bbclass is inherited by flat, DFx Static and DFx RP firmware recipes. +# dfx_user_dts.bbclass expects user to generate pl dtsi for flat, DFx Static +# and DFx RP xsa outside of yocto. + +inherit devicetree + +DEPENDS = "dtc-native bootgen-native" + +# recipes that inherit from this class need to use an appropriate machine +# override for COMPATIBLE_MACHINE to build successfully; don't allow building +# for microblaze MACHINE +COMPATIBLE_MACHINE ?= "^$" +COMPATIBLE_MACHINE:microblaze = "^$" + +PACKAGE_ARCH = "${MACHINE_ARCH}" + +PROVIDES = "" + +do_fetch[cleandirs] = "${B}" + +DT_PADDING_SIZE = "0x1000" +BOOTGEN_FLAGS ?= " -arch ${SOC_FAMILY} -w ${@bb.utils.contains('SOC_FAMILY','zynqmp','','-process_bitstream bin',d)}" + +S ?= "${WORKDIR}" +FW_DIR ?= "" +DTSI_PATH ?= "" +DTBO_PATH ?= "" +DT_FILES_PATH = "${S}/${DTSI_PATH}" + +python() { + soc_family = d.getVar("SOC_FAMILY") + if "git://" in d.getVar("SRC_URI") or "https://" in d.getVar("SRC_URI"): + d.setVar("S",'${WORKDIR}/git/'+d.getVar("FW_DIR")) + else: + dtsi_found = False + dtbo_found = False + bit_found = False + pdi_found = False + + # Required Inputs + if '.dtsi' in d.getVar("SRC_URI"): + dtsi_found = True + d.setVar("DTSI_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.dtsi' in a][0].lstrip('file://'))) + + if '.dtbo' in d.getVar("SRC_URI"): + dtbo_found = True + d.setVar("DTBO_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.dtbo' in a][0].lstrip('file://'))) + + if '.bit' in d.getVar("SRC_URI") and soc_family != "versal": + bit_found = True + d.setVar("BIT_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.bit' in a][0].lstrip('file://'))) + + if '.pdi' in d.getVar("SRC_URI") and soc_family == "versal": + pdi_found = True + d.setVar("PDI_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.pdi' in a][0].lstrip('file://'))) + + # Check for valid combination of input files in SRC_URI + if dtsi_found or dtbo_found: + bb.debug(2, "dtsi or dtbo found in SRC_URI") + if bit_found or pdi_found: + bb.debug(2, "bitstream or pdi found in SRC_URI") + else: + raise bb.parse.SkipRecipe("Need one '.bit' or one '.pdi' file added to SRC_URI ") + else: + raise bb.parse.SkipRecipe("Need one '.dtsi' or one '.dtbo' file added to SRC_URI ") + + # Optional input + if '.json' in d.getVar("SRC_URI"): + d.setVar("JSON_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.json' in a][0].lstrip('file://'))) + + if '.xclbin' in d.getVar("SRC_URI"): + d.setVar("XCL_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.xclbin' in a][0].lstrip('file://'))) +} +python do_configure() { + import glob, re, shutil + soc_family = d.getVar("SOC_FAMILY") + + if bb.utils.contains('MACHINE_FEATURES', 'fpga-overlay', False, True, d): + bb.warn("Using fpga-manager.bbclass requires fpga-overlay MACHINE_FEATURE to be enabled") + + # Renaming firmware-name using $PN as bitstream/PDI will be renamed using + # $PN when generating the bin/pdi file. + if '.dtsi' in d.getVar("SRC_URI"): + orig_dtsi = glob.glob(d.getVar('S')+ (d.getVar('DTSI_PATH') or '') + '/*.dtsi')[0] + new_dtsi = d.getVar('S') + '/pl.dtsi_firmwarename' + with open(new_dtsi, 'w') as newdtsi: + with open(orig_dtsi) as olddtsi: + for line in olddtsi: + if soc_family == 'versal': + newdtsi.write(re.sub('firmware-name.*\".*\"','firmware-name = \"'+d.getVar('PN')+'.pdi\"',line)) + else: + newdtsi.write(re.sub('firmware-name.*\".*\"','firmware-name = \"'+d.getVar('PN')+'.bit.bin\"',line)) + shutil.move(new_dtsi,orig_dtsi) +} + +python devicetree_do_compile:append() { + import glob, subprocess, shutil + soc_family = d.getVar("SOC_FAMILY") + + # Convert .bit to bit.bin format only if dtsi is input. + # In case of dtbo as input, bbclass doesn't know if firmware-name is .bit or + # .bit.bin format and corresponding file name. Hence we are not doing + # bit.bin conversion. + if soc_family != 'versal' and glob.glob(d.getVar('S') + '/*.dtsi'): + pn = d.getVar('PN') + biffile = pn + '.bif' + + with open(biffile, 'w') as f: + f.write('all:\n{\n\t' + glob.glob(d.getVar('S')+(d.getVar('BIT_PATH') or '') + '/*.bit')[0] + '\n}') + + bootgenargs = ["bootgen"] + (d.getVar("BOOTGEN_FLAGS") or "").split() + bootgenargs += ["-image", biffile, "-o", pn + ".bit.bin"] + subprocess.run(bootgenargs, check = True) + + # In Zynq7k using both "-process_bitstream bin" and "-o" in bootgen flag, + # to convert bit file to bin format, "-o" option will not be effective + # and generated output file name is ${S}+${BIT_PATH}/.bit.bin + # file, Hence we need to rename this file from .bit.bin to + # ${PN}.bit.bin which matches the firmware name in dtbo and move + # ${PN}.bit.bin to ${B} directory. + if soc_family == 'zynq': + src_bitbin_file = glob.glob(d.getVar('S') + (d.getVar('BIT_PATH') or '') + '/*.bit.bin')[0] + dst_bitbin_file = d.getVar('B') + '/' + pn + '.bit.bin' + shutil.move(src_bitbin_file, dst_bitbin_file) + + if not os.path.isfile(pn + ".bit.bin"): + bb.fatal("Couldn't find %s file, Enable '-log trace' in BOOTGEN_FLAGS" \ + "and check bootgen_log.txt" % (d.getVar('B') + '/' + pn + '.bit.bin')) +} + +do_install() { + install -d ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ + + # In case of dtbo as input, dtbo will be copied from directly from ${S} + # In case of dtsi as input, dtbo will be copied from directly from ${B} + if [ -f ${S}/*.dtbo ]; then + install -Dm 0644 ${S}/*.dtbo ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ + elif [ -f ${B}/*.dtbo ]; then + install -Dm 0644 ${B}/*.dtbo ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/${PN}.dtbo + else + bbfatal "A dtbo ending '.dtbo' expected but not found" + fi + + if [ "${SOC_FAMILY}" == "versal" ]; then + # In case of dtbo as input, pdi will be copied from directly from ${S} + # without renaming the pdi name to ${PN}.pdi + if [ -f ${S}/*.pdi ] && [ -f ${S}/*.dtbo ]; then + install -Dm 0644 ${S}/*.pdi ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ + elif [ -f ${S}/*.pdi ] && [ -f ${B}/*.dtbo ]; then + install -Dm 0644 ${S}/*.pdi ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/${PN}.pdi + else + bbfatal "A PDI file with '.pdi' expected but not found" + fi + else + # In case of dtbo as input, .bit or .bit.in will be copied from directly + # from ${S} without renaming the .bit name to ${PN}.bit.bin + if [ -f ${S}/*.bit* ] && [ -f ${S}/*.dtbo ]; then + install -Dm 0644 ${S}/*.bit* ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ + elif [ -f ${B}/${PN}.bit.bin ] && [ -f ${B}/*.dtbo ]; then + install -Dm 0644 ${B}/${PN}.bit.bin ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/${PN}.bit.bin + else + bbfatal "A bitstream file with '.bit' or '.bit.bin' expected but not found" + fi + fi + + if ls ${S}/${XCL_PATH}/*.xclbin >/dev/null 2>&1; then + install -Dm 0644 ${S}/${XCL_PATH}/*.xclbin ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/${PN}.xclbin + fi + + if [ -f ${S}/${JSON_PATH}/shell.json ] || [ -f ${S}/${JSON_PATH}/accel.json ]; then + install -Dm 0644 ${S}/${JSON_PATH}/*.json ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ + fi +} + +do_deploy[noexec] = "1" + +FILES:${PN} += "${nonarch_base_libdir}/firmware/xilinx/${PN}" -- cgit v1.2.3-54-g00ecf From ba455084dadb5d681b5b4fff67195645b061fc20 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Thu, 6 Jul 2023 00:31:09 -0600 Subject: fpgamanager_custom: Add deprecation warnings fpgamanager_custom bbclass will be deprecated in upcoming release. Users should start using dfx_user_dts bbclass for below firmware app. 1. Zynq-7000 iand ZynqMP full bitstream firmware app. 2. ZynqMP or Versal DFx Static firmware app. 3. ZynqMP or Versal DFx Partial firmware app. 4. Versal CSoC DFx Partial firmware app. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- .../classes/fpgamanager_custom.bbclass | 197 +++------------------ 1 file changed, 22 insertions(+), 175 deletions(-) diff --git a/meta-xilinx-core/classes/fpgamanager_custom.bbclass b/meta-xilinx-core/classes/fpgamanager_custom.bbclass index e5255783..8c8997a1 100644 --- a/meta-xilinx-core/classes/fpgamanager_custom.bbclass +++ b/meta-xilinx-core/classes/fpgamanager_custom.bbclass @@ -1,177 +1,24 @@ -# This bbclass is inherited by flat, DFx Static and DFx RP firmware recipes. -# fpgamanager_custom.bbclass expects user to generate pl dtsi for flat, DFx Static -# and DFx RP xsa outside of yocto. - -inherit devicetree - -DEPENDS = "dtc-native bootgen-native" - -# recipes that inherit from this class need to use an appropriate machine -# override for COMPATIBLE_MACHINE to build successfully; don't allow building -# for microblaze MACHINE -COMPATIBLE_MACHINE ?= "^$" -COMPATIBLE_MACHINE:microblaze = "^$" - -PACKAGE_ARCH = "${MACHINE_ARCH}" - -PROVIDES = "" - -do_fetch[cleandirs] = "${B}" - -DT_PADDING_SIZE = "0x1000" -BOOTGEN_FLAGS ?= " -arch ${SOC_FAMILY} -w ${@bb.utils.contains('SOC_FAMILY','zynqmp','','-process_bitstream bin',d)}" - -S ?= "${WORKDIR}" -FW_DIR ?= "" -DTSI_PATH ?= "" -DTBO_PATH ?= "" -DT_FILES_PATH = "${S}/${DTSI_PATH}" - -python() { - soc_family = d.getVar("SOC_FAMILY") - if "git://" in d.getVar("SRC_URI") or "https://" in d.getVar("SRC_URI"): - d.setVar("S",'${WORKDIR}/git/'+d.getVar("FW_DIR")) - else: - dtsi_found = False - dtbo_found = False - bit_found = False - pdi_found = False - - # Required Inputs - if '.dtsi' in d.getVar("SRC_URI"): - dtsi_found = True - d.setVar("DTSI_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.dtsi' in a][0].lstrip('file://'))) - - if '.dtbo' in d.getVar("SRC_URI"): - dtbo_found = True - d.setVar("DTBO_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.dtbo' in a][0].lstrip('file://'))) - - if '.bit' in d.getVar("SRC_URI") and soc_family != "versal": - bit_found = True - d.setVar("BIT_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.bit' in a][0].lstrip('file://'))) - - if '.pdi' in d.getVar("SRC_URI") and soc_family == "versal": - pdi_found = True - d.setVar("PDI_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.pdi' in a][0].lstrip('file://'))) - - # Check for valid combination of input files in SRC_URI - if dtsi_found or dtbo_found: - bb.debug(2, "dtsi or dtbo found in SRC_URI") - if bit_found or pdi_found: - bb.debug(2, "bitstream or pdi found in SRC_URI") - else: - raise bb.parse.SkipRecipe("Need one '.bit' or one '.pdi' file added to SRC_URI ") - else: - raise bb.parse.SkipRecipe("Need one '.dtsi' or one '.dtbo' file added to SRC_URI ") - - # Optional input - if '.json' in d.getVar("SRC_URI"): - d.setVar("JSON_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.json' in a][0].lstrip('file://'))) - - if '.xclbin' in d.getVar("SRC_URI"): - d.setVar("XCL_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.xclbin' in a][0].lstrip('file://'))) +# This class inherits dfx_user_dts.bbclass for below use cases. +# Zynq-7000 and ZynqMP: Full bitstream loading. +# ZynqMP: DFx Static and Partial bitstream loading. +# Versal: DFx Static and Parial pdi loading. +# Versal: Full PDI loading. + +inherit dfx_user_dts + +python fpgamanager_warn_msg () { + if not d.getVar("FPGAMANAGER_NO_WARN"): + arch = d.getVar('SOC_FAMILY') + pn = d.getVar('PN') + warn_msg = 'Users should start using dfx_user_dts bbclass for ' + if arch == 'zynq': + warn_msg += 'Zynq-7000 Full bitstream loading use case.' + elif arch == 'zynqmp': + warn_msg += 'ZynqMP Full or DFx Static or DFx Partial bitstream loading use case.' + elif arch == 'versal': + warn_msg += 'Versal DFx Static or DFx Partial or Full PDI loading use case.' + + bb.warn("Recipe %s has inherited fpgamanager_custom bbclass which will be deprecated in 2024.1 release. \n%s" % (pn, warn_msg)) } -python do_configure() { - import glob, re, shutil - soc_family = d.getVar("SOC_FAMILY") - - if bb.utils.contains('MACHINE_FEATURES', 'fpga-overlay', False, True, d): - bb.warn("Using fpga-manager.bbclass requires fpga-overlay MACHINE_FEATURE to be enabled") - - # Renaming firmware-name using $PN as bitstream/PDI will be renamed using - # $PN when generating the bin/pdi file. - if '.dtsi' in d.getVar("SRC_URI"): - orig_dtsi = glob.glob(d.getVar('S')+ (d.getVar('DTSI_PATH') or '') + '/*.dtsi')[0] - new_dtsi = d.getVar('S') + '/pl.dtsi_firmwarename' - with open(new_dtsi, 'w') as newdtsi: - with open(orig_dtsi) as olddtsi: - for line in olddtsi: - if soc_family == 'versal': - newdtsi.write(re.sub('firmware-name.*\".*\"','firmware-name = \"'+d.getVar('PN')+'.pdi\"',line)) - else: - newdtsi.write(re.sub('firmware-name.*\".*\"','firmware-name = \"'+d.getVar('PN')+'.bit.bin\"',line)) - shutil.move(new_dtsi,orig_dtsi) -} - -python devicetree_do_compile:append() { - import glob, subprocess, shutil - soc_family = d.getVar("SOC_FAMILY") - - # Convert .bit to bit.bin format only if dtsi is input. - # In case of dtbo as input, bbclass doesn't know if firmware-name is .bit or - # .bit.bin format and corresponding file name. Hence we are not doing - # bit.bin conversion. - if soc_family != 'versal' and glob.glob(d.getVar('S') + '/*.dtsi'): - pn = d.getVar('PN') - biffile = pn + '.bif' - - with open(biffile, 'w') as f: - f.write('all:\n{\n\t' + glob.glob(d.getVar('S')+(d.getVar('BIT_PATH') or '') + '/*.bit')[0] + '\n}') - - bootgenargs = ["bootgen"] + (d.getVar("BOOTGEN_FLAGS") or "").split() - bootgenargs += ["-image", biffile, "-o", pn + ".bit.bin"] - subprocess.run(bootgenargs, check = True) - - # In Zynq7k using both "-process_bitstream bin" and "-o" in bootgen flag, - # to convert bit file to bin format, "-o" option will not be effective - # and generated output file name is ${S}+${BIT_PATH}/.bit.bin - # file, Hence we need to rename this file from .bit.bin to - # ${PN}.bit.bin which matches the firmware name in dtbo and move - # ${PN}.bit.bin to ${B} directory. - if soc_family == 'zynq': - src_bitbin_file = glob.glob(d.getVar('S') + (d.getVar('BIT_PATH') or '') + '/*.bit.bin')[0] - dst_bitbin_file = d.getVar('B') + '/' + pn + '.bit.bin' - shutil.move(src_bitbin_file, dst_bitbin_file) - - if not os.path.isfile(pn + ".bit.bin"): - bb.fatal("Couldn't find %s file, Enable '-log trace' in BOOTGEN_FLAGS" \ - "and check bootgen_log.txt" % (d.getVar('B') + '/' + pn + '.bit.bin')) -} - -do_install() { - install -d ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ - - # In case of dtbo as input, dtbo will be copied from directly from ${S} - # In case of dtsi as input, dtbo will be copied from directly from ${B} - if [ -f ${S}/*.dtbo ]; then - install -Dm 0644 ${S}/*.dtbo ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ - elif [ -f ${B}/*.dtbo ]; then - install -Dm 0644 ${B}/*.dtbo ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/${PN}.dtbo - else - bbfatal "A dtbo ending '.dtbo' expected but not found" - fi - - if [ "${SOC_FAMILY}" == "versal" ]; then - # In case of dtbo as input, pdi will be copied from directly from ${S} - # without renaming the pdi name to ${PN}.pdi - if [ -f ${S}/*.pdi ] && [ -f ${S}/*.dtbo ]; then - install -Dm 0644 ${S}/*.pdi ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ - elif [ -f ${S}/*.pdi ] && [ -f ${B}/*.dtbo ]; then - install -Dm 0644 ${S}/*.pdi ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/${PN}.pdi - else - bbfatal "A PDI file with '.pdi' expected but not found" - fi - else - # In case of dtbo as input, .bit or .bit.in will be copied from directly - # from ${S} without renaming the .bit name to ${PN}.bit.bin - if [ -f ${S}/*.bit* ] && [ -f ${S}/*.dtbo ]; then - install -Dm 0644 ${S}/*.bit* ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ - elif [ -f ${B}/${PN}.bit.bin ] && [ -f ${B}/*.dtbo ]; then - install -Dm 0644 ${B}/${PN}.bit.bin ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/${PN}.bit.bin - else - bbfatal "A bitstream file with '.bit' or '.bit.bin' expected but not found" - fi - fi - - if ls ${S}/${XCL_PATH}/*.xclbin >/dev/null 2>&1; then - install -Dm 0644 ${S}/${XCL_PATH}/*.xclbin ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/${PN}.xclbin - fi - - if [ -f ${S}/${JSON_PATH}/shell.json ] || [ -f ${S}/${JSON_PATH}/accel.json ]; then - install -Dm 0644 ${S}/${JSON_PATH}/*.json ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ - fi -} - -do_deploy[noexec] = "1" -FILES:${PN} += "${nonarch_base_libdir}/firmware/xilinx/${PN}" +do_install[postfuncs] += "fpgamanager_warn_msg" \ No newline at end of file -- cgit v1.2.3-54-g00ecf From daae8076022a31e1d4fe090a1f4fb93586fcecc9 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Thu, 6 Jul 2023 00:31:10 -0600 Subject: README.dfx.user.dts: Add instruction for dfx_user_dts firmware app Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- docs/README.dfx.user.dts.md | 475 ++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 475 insertions(+) create mode 100644 docs/README.dfx.user.dts.md diff --git a/docs/README.dfx.user.dts.md b/docs/README.dfx.user.dts.md new file mode 100644 index 00000000..6cfc5fd0 --- /dev/null +++ b/docs/README.dfx.user.dts.md @@ -0,0 +1,475 @@ +# Build Instructions to create firmware recipes using dfx_user_dts bbclass + +* [Introduction](#introduction) +* [How to create a firmware recipe app](#how-to-create-a-firmware-recipe-app) +* [Test Procedure on Target](#test-procedure-on-target) + * [Loading PL bitstream or pdi and dt overlay](#loading-pl-bitstream-or-pdi-and-dt-overlay) + * [Testing PL functionality](#testing-pl-functionality) + * [Unloading PL bitstream or pdi and dt overlay](#unloading-pl-bitstream-or-pdi-and-dt-overlay) +* [References](#references) + +## Introduction +This readme describes the build instructions to create firmware recipes using +dfx_user_dts.bbclass for dfx configuration. This bitbake class supports +following use cases. + +> **Note:** Refer https://github.com/Xilinx/dfx-mgr/blob/master/README.md for +> shell.json and accel.json file content. + +* **Zynq-7000 and ZynqMP**: + * Design: Vivado flat design. + * Input files to firmware recipes: .bit, .dtsi or dtbo and shell.json (optional) + * Usage Examples: +``` +SRC_URI = " \ + file://.bit \ + file://.dtsi \ + file://shell.json \ + " +``` + +``` +SRC_URI = " \ + file://.bit \ + file://.dtbo \ + file://shell.json \ + " +``` + +* **ZynqMP and Versal**: + * Design: Vivado DFx design. + * Input files to firmware recipes: .bit(ZynqMP) or .pdi(Versal), .dtsi or dtbo + shell.json or accel.json (optional) and .xclbin (optional). + * Usage Examples: + +``` +# ZynqMP DFx Static +SRC_URI = " \ + file://.bit \ + file://.dtsi \ + file://shell.json \ + file://.xclbin \ + " +``` + +``` +# ZynqMP DFx Static +SRC_URI = " \ + file://.bit \ + file://.dtbo \ + file://shell.json \ + file://.xclbin \ + " +``` + +``` +# ZynqMP DFx RP +SRC_URI = " \ + file://.bit \ + file://.dtsi \ + file://accel.json \ + file://.xclbin \ + " +``` + +``` +# ZynqMP DFx RP +SRC_URI = " \ + file://.bit \ + file://.dtbo \ + file://accel.json \ + file://.xclbin \ + " +``` +``` +# Versal DFx Static +SRC_URI = " \ + file://.pdi \ + file://.dtsi \ + file://shell.json \ + file://.xclbin \ + " +``` + +``` +# Versal DFx Static +SRC_URI = " \ + file://.pdi \ + file://.dtbo \ + file://shell.json \ + file://.xclbin \ + " +``` + +``` +# Versal DFx RP +SRC_URI = " \ + file://.pdi \ + file://.dtsi \ + file://accel.json \ + file://.xclbin \ + " +``` + +``` +# Versal DFx RP +SRC_URI = " \ + file://.pdi \ + file://.dtbo \ + file://accel.json \ + file://.xclbin \ + " +``` +--- + +## How to create a firmware recipe app + +1. Follow [Building Instructions](../README.building.md) upto step 4. +2. Create recipes-firmware directory in meta layer and copy the .bit/pdi, + .dtsi/dtbo, .json and .xclbin file to these directories. +``` +$ mkdir -p /recipes-fimrware//files +$ cp -r /*.{bit or pdi, dtsi or dtbo, shell.json or accel.json and .xclbin} /recipes-fimrware//files +``` +3. Now create the recipes for flat or static or partial firmware using recipetool. +``` +$ recipetool create -o /recipes-fimrware//firmware-app-name.bb file:////recipes-fimrware//files +``` +4. Modify the recipe and inherit dfx_user_dts bbclass as shown below. +``` +SUMMARY = "Full Bitstream loading app firmware using dfx_user_dts bbclass" +LICENSE = "MIT" +LIC_FILES_CHKSUM = "file://${COMMON_LICENSE_DIR}/MIT;md5=0835ade698e0bcf8506ecda2f7b4f302" + +inherit dfx_user_dts + +SRC_URI = "\ + file://zcu111-pl-demo.bit \ + file://zcu111-pl-demo.dtsi \ + " + +COMPATIBLE_MACHINE ?= "^$" +COMPATIBLE_MACHINE:zynqmp = "zynqmp" +``` +5. Add firmware-recipe app to image and enable fpga-overlay machine features to + local.conf as shown below. +> **Note:** fpga-manager-script provides fpgautil tool to load .bit/pdi and dtbo +> at runtime linux. +``` +MACHINE_FEATURES += "fpga-overlay" +IMAGE_INSTALL:append = " \ + firmware-app-name \ + fpga-manager-script \ + " +``` +6. Follow [Building Instructions](../README.building.md) and continue from step 5. +7. Once images are built firmware app files will be installed on target_rootfs. +``` +# /lib/firmware/xilinx/firmware-app-name +``` +--- + +## Test Procedure on Target +* Once Linux boots on target, use fpgautil command to load .bit or .pdi and + corresponding dt overlay as shown below. +> **Note:** firmware can be loaded only with sudo or root permissions. +--- + +### Loading PL bitstream or pdi and dt overlay + +* ZynqMP +``` +yocto-zynqmp-generic-20231:~$ sudo su +yocto-zynqmp-generic-20231:/home/petalinux# cat /proc/interrupts + CPU0 CPU1 CPU2 CPU3 + 11: 13309 13021 13673 14170 GICv2 30 Level arch_timer + 14: 0 0 0 0 GICv2 67 Level zynqmp_ipi + 15: 0 0 0 0 GICv2 175 Level arm-pmu + 16: 0 0 0 0 GICv2 176 Level arm-pmu + 17: 0 0 0 0 GICv2 177 Level arm-pmu + 18: 0 0 0 0 GICv2 178 Level arm-pmu + 19: 0 0 0 0 GICv2 58 Level ffa60000.rtc + 20: 0 0 0 0 GICv2 59 Level ffa60000.rtc + 21: 0 0 0 0 GICv2 42 Level ff960000.memory-controller + 22: 0 0 0 0 GICv2 88 Level ams-irq + 23: 0 0 0 0 GICv2 155 Level axi-pmon, axi-pmon + 24: 327 0 0 0 GICv2 53 Level xuartps + 27: 0 0 0 0 GICv2 156 Level zynqmp-dma + 28: 0 0 0 0 GICv2 157 Level zynqmp-dma + 29: 0 0 0 0 GICv2 158 Level zynqmp-dma + 30: 0 0 0 0 GICv2 159 Level zynqmp-dma + 31: 0 0 0 0 GICv2 160 Level zynqmp-dma + 32: 0 0 0 0 GICv2 161 Level zynqmp-dma + 33: 0 0 0 0 GICv2 162 Level zynqmp-dma + 34: 0 0 0 0 GICv2 163 Level zynqmp-dma + 35: 0 0 0 0 GICv2 109 Level zynqmp-dma + 36: 0 0 0 0 GICv2 110 Level zynqmp-dma + 37: 0 0 0 0 GICv2 111 Level zynqmp-dma + 38: 0 0 0 0 GICv2 112 Level zynqmp-dma + 39: 0 0 0 0 GICv2 113 Level zynqmp-dma + 40: 0 0 0 0 GICv2 114 Level zynqmp-dma + 41: 0 0 0 0 GICv2 115 Level zynqmp-dma + 42: 0 0 0 0 GICv2 116 Level zynqmp-dma + 43: 0 0 0 0 GICv2 154 Level fd4c0000.dma-controller + 44: 5938 0 0 0 GICv2 47 Level ff0f0000.spi + 45: 76 0 0 0 GICv2 95 Level eth0, eth0 + 46: 0 0 0 0 GICv2 57 Level axi-pmon, axi-pmon + 47: 4802 0 0 0 GICv2 49 Level cdns-i2c + 48: 501 0 0 0 GICv2 50 Level cdns-i2c + 50: 0 0 0 0 GICv2 84 Edge ff150000.watchdog + 51: 0 0 0 0 GICv2 151 Level fd4a0000.display + 52: 548 0 0 0 GICv2 81 Level mmc0 + 53: 0 0 0 0 GICv2 165 Level ahci-ceva[fd0c0000.ahci] + 54: 0 0 0 0 GICv2 97 Level xhci-hcd:usb1 + 55: 0 0 0 0 zynq-gpio 22 Edge sw19 +IPI0: 64 25 87 38 Rescheduling interrupts +IPI1: 1933 6579 1096 5686 Function call interrupts +IPI2: 0 0 0 0 CPU stop interrupts +IPI3: 0 0 0 0 CPU stop (for crash dump) interrupts +IPI4: 0 0 0 0 Timer broadcast interrupts +IPI5: 0 0 0 0 IRQ work interrupts +IPI6: 0 0 0 0 CPU wake-up interrupts +Err: 0 +yocto-zynqmp-generic-20231:/home/petalinux# tree /lib/firmware/ +/lib/firmware/ +`-- xilinx + `-- zcu111-pl-demo + |-- zcu111-pl-demo.bit.bin + `-- zcu111-pl-demo.dtbo + +2 directories, 2 files +yocto-zynqmp-generic-20231:/home/petalinux# fpgautil -b /lib/firmware/xilinx/zcu111-pl-demo/zcu111-pl-demo.bit -o /lib/firmware/xilinx/zcu111-pl-demo/zcu111-pl-demo.dtbo +[ 91.039773] fpga_manager fpga0: writing zcu111-pl-demo.bit to Xilinx ZynqMP FPGA Manager +[ 91.528214] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /fpga-full/firmware-name +[ 91.538354] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /fpga-full/pid +[ 91.547598] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /fpga-full/resets +[ 91.557087] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /fpga-full/uid +[ 91.566804] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /__symbols__/afi0 +[ 91.576312] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /__symbols__/clocking0 +[ 91.586255] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /__symbols__/axi_gpio_0 +[ 91.596280] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /__symbols__/misc_clk_0 +[ 91.606300] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /__symbols__/axi_gpio_1 +[ 91.616325] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /__symbols__/axi_gpio_2 +[ 91.626342] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /__symbols__/axi_uartlite_0 +[ 91.636705] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /__symbols__/ddr4_0 +[ 91.661849] gpio gpiochip3: (a0000000.gpio): not an immutable chip, please consider fixing it! +[ 91.662020] gpio gpiochip4: (a0010000.gpio): not an immutable chip, please consider fixing it! +[ 91.863492] a0030000.serial: ttyUL0 at MMIO 0xa0030000 (irq = 58, base_baud = 0) is a uartlite +[ 91.876674] uartlite a0030000.serial: Runtime PM usage count underflow! +[ 91.906539] input: pl-gpio-keys as /devices/platform/pl-gpio-keys/input/input1 +Time taken to load BIN is 901.000000 Milli Seconds +BIN FILE loaded through FPGA manager successfully +yocto-zynqmp-generic-20231:/home/petalinux# +``` +* Versal (DFx Static) +``` +yocto-vck190-dfx-2023:~$ sudo su +root@yocto-vck190-dfx-2023:~# +root@yocto-vck190-dfx-2023:~# fpgautil -o /lib/firmware/xilinx/vck190-dfx-static/vck190-dfx-static.dtbo +[ 257.555571] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /fpga/external-fpga-config +[ 257.565879] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /fpga/pid +[ 257.574670] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /fpga/uid +[ 257.583599] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /__symbols__/fpga_PR0 +[ 257.593434] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /__symbols__/fpga_PR1 +[ 257.603268] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /__symbols__/fpga_PR2 +[ 257.613100] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /__symbols__/static_region_axi_bram_ctrl_0 +[ 257.624762] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /__symbols__/static_region_dfx_decoupler_rp1 +[ 257.636589] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /__symbols__/static_region_dfx_decoupler_rp2 +[ 257.648415] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /__symbols__/static_region_dfx_decoupler_rp3 +[ 257.663234] of-fpga-region fpga:fpga-PR0: FPGA Region probed +[ 257.669135] of-fpga-region fpga:fpga-PR1: FPGA Region probed +[ 257.675022] of-fpga-region fpga:fpga-PR2: FPGA Region probed +root@yocto-vck190-dfx-2023:~# +``` +* Versal (DFx RP) +``` +root@yocto-vck190-dfx-2023:~# fpgautil -b /lib/firmware/xilinx/vck190-dfx-static/rp1/vck190-dfx-rp1rm1-dipsw/vck190-dfx-rp1rm1-dipsw.pdi -o /lib/firmware/xilinx/vck190-dfx-static/rp1/vck190-dfx-rp1rm1-dipsw/vck190-dfx-rp1rm1-dipsw.dtbo -f Partial -n PR0 +[ 273.511455] fpga_manager fpga0: writing vck190-dfx-rp1rm1-dipsw.pdi to Xilinx Versal FPGA Manager +[284052.461]Loading PDI from DDR +[284052.566]Monolithic/Master Device +[284055.847]3.365 ms: PDI initialization time +[284059.809]+++Loading Image#: 0x0, Name: pl_cfi, Id: 0x18700002 +[284065.432]---Loading Partition#: 0x0, Id: 0x103 +[284069.829] 0.033 ms for Partition#: 0x0, Size: 1312 Bytes +[284074.973]---Loading Partition#: 0x1, Id: 0x105 +[284079.344] 0.007 ms for Partition#: 0x1, Size: 160 Bytes +[284084.430]---Loading Partition#: 0x2, Id: 0x205 +[284088.844] 0.049 ms for Partition#: 0x2, Size: 960 Bytes +[284093.887]---Loading Partition#: 0x3, Id: 0x203 +[284098.280] 0.030 ms for Partition#: 0x3, Size: 688 Bytes +[284103.342]---Loading Partition#: 0x4, Id: 0x303 +[284108.863] 1.156 ms for Partition#: 0x4, Size: 209440 Bytes +[284113.052]---Loading Partition#: 0x5, Id: 0x305 +[284117.712] 0.296 ms for Partition#: 0x5, Size: 3536 Bytes +[284122.594]---Loading Partition#: 0x6, Id: 0x403 +[284126.991] 0.034 ms for Partition#: 0x6, Size: 8096 Bytes +[284132.136]---Loading Partition#: 0x7, Id: 0x405 +[284136.507] 0.007 ms for Partition#: 0x7, Size: 160 Bytes +[284141.636]Subsystem PDI Load: Done +[ 273.615503] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /fpga/firmware-name +[ 273.627382] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /fpga/fpga-bridges +[ 273.636953] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /fpga/partial-fpga-config +[ 273.647241] OF: overlay: WARNING: memory leak will occur if overlay removed, property: /__symbols__/rp1_axi_gpio_0 +[ 273.660826] gpio gpiochip1: (a4010000.gpio): not an immutable chip, please consider fixing it! +[ 273.670490] input: pl-gpio-keys as /devices/platform/pl-gpio-keys/input/input0 +Time taken to load BIN is 171.000000 Milli Seconds +BIN FILE loaded through FPGA manager successfully +root@yocto-vck190-dfx-2023:~# +``` +--- + +### Testing PL functionality + +* This examples uses PL GPIO DIP switches and Push buttons to capture interrupts. +* Verify PL GPIO DIP switches and Push buttons are registered. +* Move the DIP Switches ON/OFF and verify the interrupt counts. +``` +yocto-zynqmp-generic-20231:/home/petalinux# cat /proc/interrupts + CPU0 CPU1 CPU2 CPU3 + 11: 23303 22971 24203 24990 GICv2 30 Level arch_timer + 14: 0 0 0 0 GICv2 67 Level zynqmp_ipi + 15: 0 0 0 0 GICv2 175 Level arm-pmu + 16: 0 0 0 0 GICv2 176 Level arm-pmu + 17: 0 0 0 0 GICv2 177 Level arm-pmu + 18: 0 0 0 0 GICv2 178 Level arm-pmu + 19: 0 0 0 0 GICv2 58 Level ffa60000.rtc + 20: 0 0 0 0 GICv2 59 Level ffa60000.rtc + 21: 0 0 0 0 GICv2 42 Level ff960000.memory-controller + 22: 0 0 0 0 GICv2 88 Level ams-irq + 23: 0 0 0 0 GICv2 155 Level axi-pmon, axi-pmon + 24: 515 0 0 0 GICv2 53 Level xuartps + 27: 0 0 0 0 GICv2 156 Level zynqmp-dma + 28: 0 0 0 0 GICv2 157 Level zynqmp-dma + 29: 0 0 0 0 GICv2 158 Level zynqmp-dma + 30: 0 0 0 0 GICv2 159 Level zynqmp-dma + 31: 0 0 0 0 GICv2 160 Level zynqmp-dma + 32: 0 0 0 0 GICv2 161 Level zynqmp-dma + 33: 0 0 0 0 GICv2 162 Level zynqmp-dma + 34: 0 0 0 0 GICv2 163 Level zynqmp-dma + 35: 0 0 0 0 GICv2 109 Level zynqmp-dma + 36: 0 0 0 0 GICv2 110 Level zynqmp-dma + 37: 0 0 0 0 GICv2 111 Level zynqmp-dma + 38: 0 0 0 0 GICv2 112 Level zynqmp-dma + 39: 0 0 0 0 GICv2 113 Level zynqmp-dma + 40: 0 0 0 0 GICv2 114 Level zynqmp-dma + 41: 0 0 0 0 GICv2 115 Level zynqmp-dma + 42: 0 0 0 0 GICv2 116 Level zynqmp-dma + 43: 0 0 0 0 GICv2 154 Level fd4c0000.dma-controller + 44: 5938 0 0 0 GICv2 47 Level ff0f0000.spi + 45: 110 0 0 0 GICv2 95 Level eth0, eth0 + 46: 0 0 0 0 GICv2 57 Level axi-pmon, axi-pmon + 47: 4802 0 0 0 GICv2 49 Level cdns-i2c + 48: 501 0 0 0 GICv2 50 Level cdns-i2c + 50: 0 0 0 0 GICv2 84 Edge ff150000.watchdog + 51: 0 0 0 0 GICv2 151 Level fd4a0000.display + 52: 548 0 0 0 GICv2 81 Level mmc0 + 53: 0 0 0 0 GICv2 165 Level ahci-ceva[fd0c0000.ahci] + 54: 0 0 0 0 GICv2 97 Level xhci-hcd:usb1 + 55: 0 0 0 0 zynq-gpio 22 Edge sw19 + 59: 0 0 0 0 gpio-xilinx 4 Edge PL_GPIO_PB_SW9_N + 60: 0 0 0 0 gpio-xilinx 3 Edge PL_GPIO_PB_SW12_E + 61: 0 0 0 0 gpio-xilinx 2 Edge PL_GPIO_PB_SW13_S + 62: 0 0 0 0 gpio-xilinx 1 Edge PL_GPIO_PB_SW10_W + 63: 0 0 0 0 gpio-xilinx 0 Edge PL_GPIO_PB_SW11_C + 64: 0 0 0 0 gpio-xilinx 7 Edge PL_GPIO_DIP_SW7 + 65: 0 0 0 0 gpio-xilinx 6 Edge PL_GPIO_DIP_SW6 + 66: 0 0 0 0 gpio-xilinx 5 Edge PL_GPIO_DIP_SW5 + 67: 0 0 0 0 gpio-xilinx 4 Edge PL_GPIO_DIP_SW4 + 68: 0 0 0 0 gpio-xilinx 3 Edge PL_GPIO_DIP_SW3 + 69: 0 0 0 0 gpio-xilinx 2 Edge PL_GPIO_DIP_SW2 + 70: 0 0 0 0 gpio-xilinx 1 Edge PL_GPIO_DIP_SW1 + 71: 0 0 0 0 gpio-xilinx 0 Edge PL_GPIO_DIP_SW0 +IPI0: 64 25 87 38 Rescheduling interrupts +IPI1: 2066 6747 1212 5791 Function call interrupts +IPI2: 0 0 0 0 CPU stop interrupts +IPI3: 0 0 0 0 CPU stop (for crash dump) interrupts +IPI4: 0 0 0 0 Timer broadcast interrupts +IPI5: 0 0 0 0 IRQ work interrupts +IPI6: 0 0 0 0 CPU wake-up interrupts +Err: 0 +yocto-zynqmp-generic-20231:/home/petalinux# cat /proc/interrupts + CPU0 CPU1 CPU2 CPU3 + 11: 28169 27725 29250 30190 GICv2 30 Level arch_timer + 14: 0 0 0 0 GICv2 67 Level zynqmp_ipi + 15: 0 0 0 0 GICv2 175 Level arm-pmu + 16: 0 0 0 0 GICv2 176 Level arm-pmu + 17: 0 0 0 0 GICv2 177 Level arm-pmu + 18: 0 0 0 0 GICv2 178 Level arm-pmu + 19: 0 0 0 0 GICv2 58 Level ffa60000.rtc + 20: 0 0 0 0 GICv2 59 Level ffa60000.rtc + 21: 0 0 0 0 GICv2 42 Level ff960000.memory-controller + 22: 0 0 0 0 GICv2 88 Level ams-irq + 23: 0 0 0 0 GICv2 155 Level axi-pmon, axi-pmon + 24: 603 0 0 0 GICv2 53 Level xuartps + 27: 0 0 0 0 GICv2 156 Level zynqmp-dma + 28: 0 0 0 0 GICv2 157 Level zynqmp-dma + 29: 0 0 0 0 GICv2 158 Level zynqmp-dma + 30: 0 0 0 0 GICv2 159 Level zynqmp-dma + 31: 0 0 0 0 GICv2 160 Level zynqmp-dma + 32: 0 0 0 0 GICv2 161 Level zynqmp-dma + 33: 0 0 0 0 GICv2 162 Level zynqmp-dma + 34: 0 0 0 0 GICv2 163 Level zynqmp-dma + 35: 0 0 0 0 GICv2 109 Level zynqmp-dma + 36: 0 0 0 0 GICv2 110 Level zynqmp-dma + 37: 0 0 0 0 GICv2 111 Level zynqmp-dma + 38: 0 0 0 0 GICv2 112 Level zynqmp-dma + 39: 0 0 0 0 GICv2 113 Level zynqmp-dma + 40: 0 0 0 0 GICv2 114 Level zynqmp-dma + 41: 0 0 0 0 GICv2 115 Level zynqmp-dma + 42: 0 0 0 0 GICv2 116 Level zynqmp-dma + 43: 0 0 0 0 GICv2 154 Level fd4c0000.dma-controller + 44: 5938 0 0 0 GICv2 47 Level ff0f0000.spi + 45: 134 0 0 0 GICv2 95 Level eth0, eth0 + 46: 0 0 0 0 GICv2 57 Level axi-pmon, axi-pmon + 47: 4802 0 0 0 GICv2 49 Level cdns-i2c + 48: 501 0 0 0 GICv2 50 Level cdns-i2c + 50: 0 0 0 0 GICv2 84 Edge ff150000.watchdog + 51: 0 0 0 0 GICv2 151 Level fd4a0000.display + 52: 548 0 0 0 GICv2 81 Level mmc0 + 53: 0 0 0 0 GICv2 165 Level ahci-ceva[fd0c0000.ahci] + 54: 0 0 0 0 GICv2 97 Level xhci-hcd:usb1 + 55: 0 0 0 0 zynq-gpio 22 Edge sw19 + 59: 2 0 0 0 gpio-xilinx 4 Edge PL_GPIO_PB_SW9_N + 60: 4 0 0 0 gpio-xilinx 3 Edge PL_GPIO_PB_SW12_E + 61: 2 0 0 0 gpio-xilinx 2 Edge PL_GPIO_PB_SW13_S + 62: 2 0 0 0 gpio-xilinx 1 Edge PL_GPIO_PB_SW10_W + 63: 2 0 0 0 gpio-xilinx 0 Edge PL_GPIO_PB_SW11_C + 64: 2 0 0 0 gpio-xilinx 7 Edge PL_GPIO_DIP_SW7 + 65: 2 0 0 0 gpio-xilinx 6 Edge PL_GPIO_DIP_SW6 + 66: 4 0 0 0 gpio-xilinx 5 Edge PL_GPIO_DIP_SW5 + 67: 2 0 0 0 gpio-xilinx 4 Edge PL_GPIO_DIP_SW4 + 68: 2 0 0 0 gpio-xilinx 3 Edge PL_GPIO_DIP_SW3 + 69: 2 0 0 0 gpio-xilinx 2 Edge PL_GPIO_DIP_SW2 + 70: 2 0 0 0 gpio-xilinx 1 Edge PL_GPIO_DIP_SW1 + 71: 2 0 0 0 gpio-xilinx 0 Edge PL_GPIO_DIP_SW0 +IPI0: 64 26 87 38 Rescheduling interrupts +IPI1: 2163 6791 1243 5866 Function call interrupts +IPI2: 0 0 0 0 CPU stop interrupts +IPI3: 0 0 0 0 CPU stop (for crash dump) interrupts +IPI4: 0 0 0 0 Timer broadcast interrupts +IPI5: 0 0 0 0 IRQ work interrupts +IPI6: 0 0 0 0 CPU wake-up interrupts +Err: 0 +yocto-zynqmp-generic-20231:/home/petalinux# +``` +--- + +### Unloading PL bitstream or pdi and dt overlay +* Zynq or ZynqMP +``` +yocto-zynqmp-generic-20231:/home/petalinux# fpgautil -R +``` +* Versal (DFx RP) +``` +root@yocto-vck190-dfx-2023:~# fpgautil -R -n PR0 +``` +* Versal (DFx Static) +``` +root@yocto-vck190-dfx-2023:~# fpgautil -R -n Full +``` +--- + +## References +* https://xilinx-wiki.atlassian.net/wiki/spaces/A/pages/18841645/Solution+Zynq+PL+Programming+With+FPGA+Manager +* https://xilinx-wiki.atlassian.net/wiki/spaces/A/pages/18841847/Solution+ZynqMP+PL+Programming +* https://xilinx-wiki.atlassian.net/wiki/spaces/A/pages/1188397412/Solution+Versal+PL+Programming -- cgit v1.2.3-54-g00ecf From 1ad4f6568d1713eb14f41b158e22f786f2a9a5fe Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Thu, 6 Jul 2023 00:31:11 -0600 Subject: README.fpgamanager.custom: Add deprecation warnings README.fpgamanager.custom.md file will be deprecated in upcoming release. Users should start using README.dfx.user.dts.md files for user defined dts firmware app instructions. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- docs/README.fpgamanager.custom.md | 3 +++ 1 file changed, 3 insertions(+) diff --git a/docs/README.fpgamanager.custom.md b/docs/README.fpgamanager.custom.md index ada3985e..dcdaec55 100644 --- a/docs/README.fpgamanager.custom.md +++ b/docs/README.fpgamanager.custom.md @@ -8,6 +8,9 @@ * [Unloading PL bitstream or pdi and dt overlay](#unloading-pl-bitstream-or-pdi-and-dt-overlay) * [References](#references) +> **Note:** This README will be deprecated in 2024.1 release. User sthould start +> using [DFx User DTS README](README.dfx.user.dts.md) + ## Introduction This readme describes the build instructions to create firmware recipes using fpgamanager_custom.bbclass for dynamic configuration. This bitbake class supports -- cgit v1.2.3-54-g00ecf From ec7137504c3c47921e4c54eca9a1b0dea76fdb62 Mon Sep 17 00:00:00 2001 From: Manikanta Sreeram Date: Fri, 7 Jul 2023 21:08:05 -0600 Subject: Revert "aie-rt : Updated SRCREV for 2023.2_3099" This reverts commit 6cbe9d87e3bcebb5fc7e59fe5139eb5eada46169. --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 53181e91..34241ce8 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "41e90b123dfdf3d22f7d345be4d7c9cdfc07968a" +SRCREV ?= "c127f1ca1532a59be766704f26924dc8c1147497" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From 16a9e0e94394651b5e374c58543e768a65419dbf Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 10 Jul 2023 12:51:52 +0530 Subject: dts : Updated SRCREV for 2023.2_7655 vhk158: Fix HBM memory mapping Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb index 29b6edaf..4ff0274f 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb @@ -2,5 +2,5 @@ require qemu-devicetrees.inc BRANCH ?= "master" -SRCREV ?= "61e42d524709debc3477f0d6449eaf6eaa49c986" +SRCREV ?= "21f91ab3370ec9ca3a17a1b7c9377d2ef5953601" -- cgit v1.2.3-54-g00ecf From e3e4d922da82b3b099de717e0dd71d748bdd0985 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 10 Jul 2023 12:45:33 +0530 Subject: arm-trusted-firmware : Updated SRCREV for 2023.2_8823 fix(versal-net): correct device node indexes chore(xilinx): reorder include files as per TF-A guidelines Signed-off-by: Siva Addepalli --- .../recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb index 1238fbf5..13066f08 100644 --- a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb @@ -1,5 +1,5 @@ ATF_VERSION = "2.8" -SRCREV = "65f14daf2ee17e60b09d244671e9187947e480a8" +SRCREV = "f0ba7ad93cca64ca89cab9039ad76557c1db42dd" BRANCH = "xlnx_rebase_v2.8" LIC_FILES_CHKSUM = "file://license.rst;md5=1dd070c98a281d18d9eefd938729b031" -- cgit v1.2.3-54-g00ecf From 016282082c340f1c39a58149c807c2bdab92f6cd Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Tue, 11 Jul 2023 12:45:11 +0530 Subject: Updated Commit ID hw-description.tcl: avoiding duplicate ddr channels Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 9a24f9b0..154b17da 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 9a24f9b091c0faa77a3c5130cf96fdf574f9b19c +Subproject commit 154b17dafe4c7e18d7818916bf968e385d5b9c49 -- cgit v1.2.3-54-g00ecf From 4a80aacb60eab96543dc981f4376ec529246a725 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 11 Jul 2023 12:50:55 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_3019 usb: usb: examples: Modify examples to SDT flow usb: usb: data: add interrupt to support SDT flow usb: usb: src: update the driver to support SDT flow usb: usb: examples: remove the powerpc support sw_services:xilsecure:Fixed compilation error for trng client example xilpm: server: Fix MISRA-C violation for Rule 20.9 sw_services: xilcert: Fixes for doxygen and static analysis warnings sw_services: xilcert: Add support for Certificate Signing Request sw_services: Fixed issues related to OpenSSL parsing sw_services: xilcert: Add support for X.509 extensions sw_services: xilcert: Add support to create ASN.1 structures XilOCP: Handled device key generation during In place PLM update can: Fix code formatting issues with checkpatch can: Add support for system device-tree flow for example can: Add support for system device-tree flow axivdma: Fix code formatting issues with checkpatch axivdma: Add support for system device-tree flow in examples axivdma: Add support for system device-tree flow usb: usbpsu: data: add dependency_files in yaml examples BSP: Cortexr5: Fix logic to ensure that r52 timer is started before being used lib: bsp: standalone: Fix grammatical issues lib: bsp: standalone: Update pin_ctrl_config_param_set api version lib: sw_apps: zynqmp_dram_test: Fix code formatting issues with checkpatch lib: sw_apps: zynqmp_dram_test: Add support for system device-tree flow rfdc: Add SDT support dfeofdm: Add SDT support xilpm:versal_net: update ByteBuffer size plm:versal_net: add call to restore all nodes xilpm:versal_net: add custom update handler xilpm:versal_net: Add support PLM update for all XPm_Node types xilpm:versal_net: Add Node SaveRestoreHandler to support PLM update xilpm:versal_net: add AllNodes storage xilpm:versal_net: Add Subsystem SaveRestoreHandler to support PLM update. xilpm:versal_net: Add xpm_update which help inplace update libXilPm coresightps_dcc: Fix code formatting issues with checkpatch coresightps_dcc: Add support for system device-tree flow xilpki: Add PKI multi-queue support for ECC operations xilpm: versal_common: server: Add IPI Access permissions for PM module xilpm: versal_common: server: Add IPI Access permissions for PSM module lib: sw_apps: Fix unbalanced endif in empty application CMake sw_services: xilsecure: Added pwct support for P-256 lib: bsp: standalone: Add a new query id into doxygen table xilpm: versal_common: client: Add a new pin attribute query id xilpm: versal: server: Add API to provide MIO attributes Update addtogroup to Overview pciepsu: Add properties to support SDT flow hwicap: Fix code formatting issues with checkpatch hwicap: Add support for system device-tree flow xilsem: Add IPI Access permissions for XilSEM module Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 318e7778..037c6847 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "d65e8f2ce7fdbd09ec60087daa10bf0e89a771e9" +ESW_REV[2023.2] = "17152dc815c0a3954023b265fd7f6682c4fa5884" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 85ef223f5670cb2f48856b1e069d92e252f51fd3 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 12 Jul 2023 18:08:28 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_8535 dt-bindings: can: xilinx_can: Add reset description can: xilinx_can: Add missing kernel-doc rstc description can: dev: add transceiver capabilities to xilinx_can misc: xilinx-ai-engine: Added support to capture utilization. drivers: clk: zynqmp: calculate closest mux rate net: axienet: Implement work queue to enable/disable link training net: axienet: Add switchable 1/10/25G MAC support dt-bindings: net: axienet: Update binding doc for 1/10G/25G MAC misc: xilinx-ai-engine: Add sysfs nodes to show DMA buffer descriptor metadata misc: xilinx-ai-engine: Add DMA buffer descriptor register attributes ptp: Add support for EXTTS dt-bindings: ptp: xilinx: Add interrupts property ptp: xilinx: Add timer-syncer-1588-3.0 support dt-bindings: ptp: xilinx: Add xlnx,timer-syncer-1588-3.0 compatible string ptp: Add support for checking has-timer-syncer IP mode dt-bindings: ptp: xilinx: Add xlnx,has-timer-syncer drivers: iio: adc: xilinx-ams: Add over temperature interrupts staging: xlnx_tsmux: Fix kernel-doc typo for structure names arm64: configs: Enable PHY_XILINX_HDMIPHY for zynqmp and versal phy: xilinx-xhdmiphy: Fix compilation errors clk: si5324: Fix kernel-doc typo for si5324_regmap_is_writeable func usb: dwc3: dwc3-xilinx: added usb-wakeup irq support usb: dwc3: xilinx: enable pme interrupt for versal dt-bindings: usb: dwc3: Add interrupt-names property support for wakeup interrupt mtd: spi-nor: issi: Disable 16bit status register write mtd: spi-nor: Add flash protection support for OSPI flashes mtd: spi-nor: Avoid setting SRWD bit in SR if WP# signal not connected dt-bindings: mtd: jedec, spi-nor: Add DT property to avoid setting SRWD bit in status register drivers: clk: zynqmp: update divider round rate logic v4l: xilinx: dprx: Remove 0x200 obsolete register usage Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index c8dce760..6d45f90e 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "c8780751e11b1803eaf3e75ace5eccb3138f06d3" +SRCREV = "153f7bc5328f94aaf844698f816800996821a96b" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From e51efc5983dcc119296f8e55cd5737a733e3f459 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Tue, 11 Jul 2023 15:39:16 -0600 Subject: dfx_user_dts: Add support for single dts input Add support for single dts and multiple dtsi use case. Also check for valid combination of dtsi and dts files in SRC_URI and following file combinations are not supported use case. 1. More than one '.dtsi' and zero '.dts' file. 2. More than one '.dts' and zero or more than one '.dtsi' file. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-core/classes/dfx_user_dts.bbclass | 100 ++++++++++++++++++++++---- 1 file changed, 87 insertions(+), 13 deletions(-) diff --git a/meta-xilinx-core/classes/dfx_user_dts.bbclass b/meta-xilinx-core/classes/dfx_user_dts.bbclass index 1f45cf12..2525a38e 100644 --- a/meta-xilinx-core/classes/dfx_user_dts.bbclass +++ b/meta-xilinx-core/classes/dfx_user_dts.bbclass @@ -26,8 +26,14 @@ FW_DIR ?= "" DTSI_PATH ?= "" DTBO_PATH ?= "" DT_FILES_PATH = "${S}/${DTSI_PATH}" +FIRMWARE_NAME_DT_FILE ?= "" +USER_DTS_FILE ?= "" + +FIRMWARE_NAME_DT_FILE[doc] = "DT file which has firmware-name device-tree property" +USER_DTS_FILE[doc] = "Final DTSI or DTS file which is used for packaging final DT overlay" python() { + import re soc_family = d.getVar("SOC_FAMILY") if "git://" in d.getVar("SRC_URI") or "https://" in d.getVar("SRC_URI"): d.setVar("S",'${WORKDIR}/git/'+d.getVar("FW_DIR")) @@ -38,9 +44,9 @@ python() { pdi_found = False # Required Inputs - if '.dtsi' in d.getVar("SRC_URI"): + if '.dtsi' in d.getVar("SRC_URI") or '.dts' in d.getVar("SRC_URI"): dtsi_found = True - d.setVar("DTSI_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.dtsi' in a][0].lstrip('file://'))) + d.setVar("DTSI_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.dtsi' in a or '.dts' in a][0].lstrip('file://'))) if '.dtbo' in d.getVar("SRC_URI"): dtbo_found = True @@ -64,6 +70,20 @@ python() { else: raise bb.parse.SkipRecipe("Need one '.dtsi' or one '.dtbo' file added to SRC_URI ") + # Check for valid combination of dtsi and dts files in SRC_URI + # Following file combinations are not supported use case. + # 1. More than one '.dtsi' and zero '.dts' file. + # 2. More than one '.dts' and zero or more than one '.dtsi'file . + pattern_dts = re.compile(r'.dts\b') + pattern_dtsi = re.compile(r'.dtsi\b') + dts_count = len([*re.finditer(pattern_dts, d.getVar('SRC_URI'))]) + dtsi_count = len([*re.finditer(pattern_dtsi, d.getVar("SRC_URI"))]) + + if dtsi_count > 1 and dts_count == 0: + raise bb.parse.SkipRecipe("Recipe has more than one '.dtsi' and zero '.dts' found, this is an unsupported use case") + elif dts_count > 1: + raise bb.parse.SkipRecipe("Recipe has more than one '.dts' and zero or more than one '.dtsi' found, this is an unsupported use case") + # Optional input if '.json' in d.getVar("SRC_URI"): d.setVar("JSON_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.json' in a][0].lstrip('file://'))) @@ -71,6 +91,32 @@ python() { if '.xclbin' in d.getVar("SRC_URI"): d.setVar("XCL_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.xclbin' in a][0].lstrip('file://'))) } + +# Function to get dts or dtsi file count. +def get_dt_count(d, dt_ext): + import glob + dt_count = sum(1 for f in glob.iglob((d.getVar('S') + (d.getVar('DTSI_PATH')) + '/*.' + dt_ext),recursive=True) if os.path.isfile(f)) + return dt_count + +# Function to search for dt firmware-name property in dts or dtsi file. +python find_firmware_file() { + import glob + pattern_fw = 'firmware-name' + search_count = 0 + for dt_files in glob.iglob((d.getVar('S') + (d.getVar('DTSI_PATH')) + '/*.dts*'),recursive=True): + with open(dt_files, "r") as f: + current_fd = f.read() + if pattern_fw in current_fd: + search_count += 1 + if search_count > 1: + bb.error("firmware-name dt property found in more than one dt files! Please fix the dts or dtsi file.") + break + else: + d.setVar('FIRMWARE_NAME_DT_FILE', os.path.basename(dt_files)) +} + +do_configure[prefuncs] += "find_firmware_file" + python do_configure() { import glob, re, shutil soc_family = d.getVar("SOC_FAMILY") @@ -80,8 +126,8 @@ python do_configure() { # Renaming firmware-name using $PN as bitstream/PDI will be renamed using # $PN when generating the bin/pdi file. - if '.dtsi' in d.getVar("SRC_URI"): - orig_dtsi = glob.glob(d.getVar('S')+ (d.getVar('DTSI_PATH') or '') + '/*.dtsi')[0] + if os.path.isfile(d.getVar('S') + (d.getVar('DTSI_PATH') or '') + '/' + d.getVar('FIRMWARE_NAME_DT_FILE')): + orig_dtsi = glob.glob(d.getVar('S')+ (d.getVar('DTSI_PATH') or '') + '/' + d.getVar('FIRMWARE_NAME_DT_FILE'))[0] new_dtsi = d.getVar('S') + '/pl.dtsi_firmwarename' with open(new_dtsi, 'w') as newdtsi: with open(orig_dtsi) as olddtsi: @@ -93,6 +139,8 @@ python do_configure() { shutil.move(new_dtsi,orig_dtsi) } +do_compile[prefuncs] += "find_firmware_file" + python devicetree_do_compile:append() { import glob, subprocess, shutil soc_family = d.getVar("SOC_FAMILY") @@ -101,7 +149,7 @@ python devicetree_do_compile:append() { # In case of dtbo as input, bbclass doesn't know if firmware-name is .bit or # .bit.bin format and corresponding file name. Hence we are not doing # bit.bin conversion. - if soc_family != 'versal' and glob.glob(d.getVar('S') + '/*.dtsi'): + if soc_family != 'versal' and glob.glob(d.getVar('S') + '/' + d.getVar('FIRMWARE_NAME_DT_FILE')): pn = d.getVar('PN') biffile = pn + '.bif' @@ -128,15 +176,37 @@ python devicetree_do_compile:append() { "and check bootgen_log.txt" % (d.getVar('B') + '/' + pn + '.bit.bin')) } +# If user inputs both dtsi and dts files then device-tree will generate dtbo +# files for each dt file, Hence to package the firmware pick the right user dt +# overlay file. +python find_user_dts_overlay_file() { + import glob + dtbo_count = sum(1 for f in glob.iglob((d.getVar('S') + '/*.dtbo'),recursive=True) if os.path.isfile(f)) + # Skip if input file is dtbo in SRC_URI + if not dtbo_count: + dts_count = get_dt_count(d, 'dts') + dtsi_count = get_dt_count(d, 'dtsi') + if dtsi_count == 1 and dts_count == 0: + dts_file =glob.glob(d.getVar('S')+ (d.getVar('DTSI_PATH') or '') + '/*.dtsi')[0] + elif dtsi_count > 1 and dts_count == 1: + dts_file = glob.glob(d.getVar('S')+ (d.getVar('DTSI_PATH') or '') + '/*.dts')[0] + + d.setVar('USER_DTS_FILE', os.path.splitext(os.path.basename(dts_file))[0]) +} + +do_install[prefuncs] += "find_user_dts_overlay_file" + do_install() { install -d ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ # In case of dtbo as input, dtbo will be copied from directly from ${S} # In case of dtsi as input, dtbo will be copied from directly from ${B} - if [ -f ${S}/*.dtbo ]; then + if [ `ls ${S}/*.dtbo | wc -l` -eq 1 ]; then install -Dm 0644 ${S}/*.dtbo ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ - elif [ -f ${B}/*.dtbo ]; then - install -Dm 0644 ${B}/*.dtbo ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/${PN}.dtbo + elif [ `ls ${S}/*.dtbo | wc -l` -gt 1 ]; then + bbfatal "Multiple DTBO found, use the right DTBO in SRC_URI from the following:\n$(basename -a ${S}/*.dtbo)" + elif [ -f ${B}/${USER_DTS_FILE}.dtbo ]; then + install -Dm 0644 ${B}/${USER_DTS_FILE}.dtbo ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/${PN}.dtbo else bbfatal "A dtbo ending '.dtbo' expected but not found" fi @@ -144,19 +214,23 @@ do_install() { if [ "${SOC_FAMILY}" == "versal" ]; then # In case of dtbo as input, pdi will be copied from directly from ${S} # without renaming the pdi name to ${PN}.pdi - if [ -f ${S}/*.pdi ] && [ -f ${S}/*.dtbo ]; then + if [ `ls ${S}/*.pdi | wc -l` -eq 1 ] && [ `ls ${S}/*.dtbo | wc -l` -eq 1 ]; then install -Dm 0644 ${S}/*.pdi ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ - elif [ -f ${S}/*.pdi ] && [ -f ${B}/*.dtbo ]; then + elif [ `ls ${S}/*.pdi | wc -l` -gt 1 ]; then + bbfatal "Multiple PDI found, use the right PDI in SRC_URI from the following:\n$(basename -a ${S}/*.pdi)" + elif [ `ls ${S}/*.pdi | wc -l` -eq 1 ] && [ -f ${B}/${USER_DTS_FILE}.dtbo ]; then install -Dm 0644 ${S}/*.pdi ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/${PN}.pdi else bbfatal "A PDI file with '.pdi' expected but not found" fi else - # In case of dtbo as input, .bit or .bit.in will be copied from directly + # In case of dtbo as input, .bit or .bit.bin will be copied from directly # from ${S} without renaming the .bit name to ${PN}.bit.bin - if [ -f ${S}/*.bit* ] && [ -f ${S}/*.dtbo ]; then + if [ `ls ${S}/*.bit* | wc -l` -eq 1 ] && [ `ls ${S}/*.dtbo | wc -l` -eq 1 ]; then install -Dm 0644 ${S}/*.bit* ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ - elif [ -f ${B}/${PN}.bit.bin ] && [ -f ${B}/*.dtbo ]; then + elif [ `ls ${S}/*.bit* | wc -l` -gt 1 ]; then + bbfatal "Multiple bit/bit.bin found, use the right bit/bit.bin in SRC_URI from the following:\n$(basename -a ${S}/*.bit*)" + elif [ -f ${B}/${PN}.bit.bin ] && [ -f ${B}/${USER_DTS_FILE}.dtbo ]; then install -Dm 0644 ${B}/${PN}.bit.bin ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/${PN}.bit.bin else bbfatal "A bitstream file with '.bit' or '.bit.bin' expected but not found" -- cgit v1.2.3-54-g00ecf From 981066be2074edeb8bcfcd35dd9c490df22d27b0 Mon Sep 17 00:00:00 2001 From: saumya garg Date: Tue, 11 Jul 2023 23:59:19 +0530 Subject: Update xrt, zocl commit id Changelog: fixed MEM tile edge events (#7621) VITIS-8730: documentation of read, write device memory directly (#7616) AIE trace improvements and new features (#7591) fixing aarch64 compilation issue (#7614) VITIS-8985 Refactor hw context metadata field in query requests (#7610) CR-1167065 Reset command header prior to starting command (#7608) Add xrt::ext::bo support for specifying read/write direction (#7607) Vitis 6327 Add PS kernel xclbins into APU Package (#7594) VITIS-9144 xbutil report for AIE column topology (#7605) Adding a precondition to the CU utilization table to make sure it is not printed when there is no data (#7601) Fix bug in CMake function (#7604) XRT OS Support for RHEl 8.8 and 9.2 (#7603) VITIS-8127 Refactor SubCmdConfigure (#7571) throwing an exception if alloc_bo/alloc_userptrbo is failed (#7602) Signed-off-by: saumya garg Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 51da5562..eda20f1d 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -3,6 +3,6 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG}" BRANCH= "master" -SRCREV= "b1e154bed787183eb677d1546fc632d6799895b9" +SRCREV= "ba5d5fffa4bc5e9d7a1f478998cf730360125a60" PV = "202320.2.16.0" -- cgit v1.2.3-54-g00ecf From 9376ad8d5d8bd8fa4d2777adedf64aa53b878cd8 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Thu, 13 Jul 2023 12:45:12 +0530 Subject: Updated Commit ID Kconfig.part: Map PetaLinux machines with Yocto machines Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 154b17da..0cce9364 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 154b17dafe4c7e18d7818916bf968e385d5b9c49 +Subproject commit 0cce936466731d8b778b2b1b53efc7b09110c9cd -- cgit v1.2.3-54-g00ecf From d7a658f1bc0607146cc2d756c70a6a3990b8142b Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 13 Jul 2023 13:45:39 +0530 Subject: u-boot-xlnx : Updated SRCREV for 2023.2_5375 clk: Dont return error when assigned-clocks is empty or missing xilinx: zynq: Enable fdt apply utility for zynq env: Fix default environment saving issue zynqmp: config: Add proper dependencies for USB cmd: thordown: Add proper dependency for CMD_THOR_DOWNLOAD dfu: Add proper dependency for CONFIG_DFU_MMC usb: xhci: Fix the missing return statement Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 209f081e..0ea5bb3d 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "9e09058131c9aec2e06812a8a3fa043ce0d9882b" +SRCREV = "c8bbab0e46075a3c9e0757c69b98dce6a5c3a2dd" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From cb457fd89f6c84a4e8dba7d14fc41904cc7ec6bf Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 13 Jul 2023 13:49:37 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_7335 mbox: Fix code formatting issues with checkpatch mbox: Add support for system device-tree flow for examples mbox: Add support for system device-tree flow usb: usb: fix code formatting issues with checkpatch Revert "sw_services:xilloader:Enable TCM Boot based on PH Attribute" Revert "xilpm: versal_net: enable TCM Boot config option" DSITXSS: Updated addtogroup to remove version number in src files. DSITXSS: Incremented driver version to v2_3 DSITXSS: Updated driver tcl file to support Compressed mode sw_services:xilloader:Enable TCM Boot based on PH Attribute xilpm: versal_net: enable TCM Boot config option llfifo: Add support for system device-tree flow for example llfifo: Add support for system device-tree flow llfifo: increment the driver version usb: usbps: examples: Add support for system device-tree flow usb: usbps: data: add yaml to support SDT flow usb: usbps: src: update the driver to support SDT flow sw_services: xilsecure:VersalNet:Added support for non-word aligned data transfer in AES ospipsv: examples: Fix compilation warnings in sdt flow ospipsv: src: Fix compilation warnings ospipsv: data: Update yaml file to port examples in sdt flow sw_services:xilloader: Add support to read DDR crypto counters sw_services:xilloader:IPI Command to support Jtag Unlock xiplmi: versalnet: Add List commands xilplmi: Refactor Proc logic to make it generic xilplmi: versalnet: Add Run Proc command XilSecure:Client:VersalNet:Separated IPI commands XilSecure:VersalNet:Server: Separated IPI calls for modules lwip213: Add zynq support in device-tree flow spips: Fix code formatting issues with checkpatch spips: Add support for system device-tree flow for examples spips: Add support for system device-tree flow Revert "pciepsu: Add properties to support SDT flow" axidma: Fix code formatting issues with checkpatch axidma: Add support for system device-tree flow in examples axidma: Add support for system device-tree flow lib: bsp: standalone: Update the stdin and stdout configuration for coresightps_dcc in sdt flow Xilsem:FreeRTOS support for XILSEM client xdmapcie: Add support for system device tree flow dfxasm: Fix code formatting issues with checkpatch dfxasm: Add support for system device-tree flow BSP: cortexr5: Support TCM A, B and C initialization for CortexR52 Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 037c6847..ac7360a6 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "17152dc815c0a3954023b265fd7f6682c4fa5884" +ESW_REV[2023.2] = "5236fdf00943be38b605e8847e08299c78dcbf33" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From dc51add3f1621f4277e88f6d748dd105411502cb Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 14 Jul 2023 12:46:05 +0530 Subject: qemu : Updated SRCREV for 2023.2_2607 xilinx_axienet: Set phy link status Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc index cc51f2d3..ebf30210 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc @@ -1,3 +1,3 @@ XILINX_QEMU_VERSION = "v7.1.0" BRANCH = "master" -SRCREV = "bebe3a9aff6faee9202f6aa2ea2f8b4e777a6aa0" +SRCREV = "52b0a149723bb64a75969c1f683fe2d4b6a22f03" -- cgit v1.2.3-54-g00ecf From 638af50002cc2461916aa0da7a619b90a380157b Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 14 Jul 2023 17:59:09 +0530 Subject: u-boot-xlnx : Updated SRCREV for 2023.2_2183 arm64: zynqmp: Remove USB description from SC revB/C test: py: tests: Add qspi negative tests test: py: tests: Add qspi flash lock/unlock test Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 0ea5bb3d..86080392 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "c8bbab0e46075a3c9e0757c69b98dce6a5c3a2dd" +SRCREV = "d627991fce3232f7d95c736868bc001a8624fc46" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From 2a59106360e00bdabbf95c361f7292d710bce86d Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 14 Jul 2023 18:03:16 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_1179 lwip213: Fix Cmake errors lib: sw_apps: openamp_rpc_demo: Add support for system device-tree flow lib: sw_apps: openamp_matrix_multiply: Add support for system device-tree flow lib: sw_apps: openamp_echo_test: Add support for system device-tree flow lib: sw_apps: libmetal demo: Add support for system device-tree flow ThirdParty: sw_services: OpenAMP: Add support for system device-tree flow ThirdParty: sw_services: Libmetal: Add support for system device-tree flow Implement Authentication time optimization gpiops: Fix code formatting issues gpiops: Add support for system device-tree flow for gpiops example gpiops: Add support for system device-tree zdma: fixed changes in header file uartlite: Fix code formatting issues uartlite: Add support for system device-tree flow for uartlite examples uartlite: Add support for system device-tree flow for uartlite nandps: Fix code formatting issues with checkpatch nandps: Add support for system device-tree flow for example nandps: Update the driver to support for system device-tree flow canps: Add support for peripheral tests in SDT flow iomodule: Fix race condition in XIOModule_DeviceInterruptHandler() API for sdt flow usb: usbpsu: fix code formatting issues with checkpatch SDITXSS: Modified addtogroup in all driver src files. SDITXSS: Incremented driver version dmaps: Fix code formatting issues with checkpatch dmaps: Add support for system device-tree flow in examples dmaps: Add support for system device-tree flow iic: Fix code formatting issues with checkpatch iic: Add support for system device-tree flow for iic examples iic: Add support for system device-tree flow uartns550: Fix code formatting issues uartns550: Add SDT support for uartns550 examples uartns550: Add support for system device-tree flow for uartns550 can: Add support for peripheral tests in SDT flow lib: sw_apps: zynq_dram_test: Add support for system device-tree flow sw_services:Added FIPS required info to VersalNet crypto algo sw_services: Added FIPS required info to Versal crypto algo sw_services:Added FIPS required info to ZynqMP crypto alg sw_services:Added code for versioning of secure lib v_hdmitxss1: updated the define for VEK280 v_hdmitxss1: uprevved the mdd v_hdmirxss1: updated define for VEK280 v_hdmirxss1: uprevved mdd Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index ac7360a6..0808c72a 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "5236fdf00943be38b605e8847e08299c78dcbf33" +ESW_REV[2023.2] = "138cbe3f272b79dfbaa7f80dfde039688f8612b5" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 5f1b290b7a75d65496d9c6f66dda7cedd3e64faa Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Sat, 15 Jul 2023 12:45:11 +0530 Subject: Updated Commit ID gen_yocto_machine: Add missing space to append operation Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 0cce9364..eae43f1f 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 0cce936466731d8b778b2b1b53efc7b09110c9cd +Subproject commit eae43f1ff1c5216ff75ce274e0c4251fc0e7743f -- cgit v1.2.3-54-g00ecf From bd254d914e36d5697a68ec15a935e984268dd4a2 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 17 Jul 2023 12:51:06 +0530 Subject: aie-rt : Updated SRCREV for 2023.2_5223 driver: src: Add xlnx-aie-engine.h file to xaiengine driver: src: Fixed MisraC violations driver: src: Fixed MisraC Violations driver: src: Remove implicit conversions Fixed Cmake build compilation error for aie-rt driver:src: Added support to capture core utilization. driver: src: io_backend: Blocked access to gated tiles driver: src: AIE-driver header clean up Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 34241ce8..0270871e 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "c127f1ca1532a59be766704f26924dc8c1147497" +SRCREV ?= "93a14c7b622accb792f7b584aa71f401404cb178" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From b475d784f9a3ea2e18e775eb388a23ab4d532948 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 18 Jul 2023 12:51:07 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_7275 sw_services:xilloader:Enable TCM Boot based on PH Attribute xilpm: versal_net: enable TCM Boot config option clockps: Fix code formatting clockps: Add support for system device-tree flow for example clockps: Add support for system device-tree flow clockps: Increment the driver version for 2023.2 axis_switch: Add support for system device-tree flow in examples axis_switch: Add support for system device-tree flow axis_switch: Upgrade the driver version sw_apps: ddr_self_refresh: Fix code formatting issues with checkpatch sw_apps: ddr_self_refresh: Add support for system device-tree flow resetps: Fix formatting issues resetps: Add system-device-tree support for example resetps: Update the driver for system device tree flow resetps: Update the driver version tft: Add support for system device-tree flow for examples tft: Update the driver for system device tree flow tft: Update the driver version xilpm: versal_net: server: reset SD_DLL_MAP registers as workaround bsp:standalone: Add coresight IP to stdin/stdout bsp settings. coresightps_dcc: Add option of coresight uart in bsp settings. trafgen: Fix formatting issues trafgen: Update the examples to support SDT flow trafgen: update the driver to support SDT flow trafgen: Update the driver version sw_services:xilsecure: Add RSA pairwise consistency test sw_services:xilsecure:Add key unwrap support sw_services:xilsecure: Add OAEP padding support sw_services:xilloader: Move mask generate function to platform specific sw_services:xilsecure: Add mask generate function sw_services:xilsecure: Add AES ECB support ipipsu: Add example support in SDT flow bsp:standalone:Fix code formatting issues. bsp: To fix unused variables compilation warnings axicdma: updated files to support the system device tree flow axivdma: updated cmake to support device tree flow lib: sw_apps: hello_world: Delete unused helloworld_xmk.c lib: sw_apps: dhrystone: Fix compilation issues in sdt flow lib: sw_services: Update supported_processors list for a9 and VersalNet VersalNet PLM: Added MC uB and PMC handshake support xilpm: versal: server: fix compilation warning scripts: pyesw: scripts for generating apps and bsp in system device-tree flow nandpsu: Fix code formatting issues with checkpatch nandpsu: Add support for system device-tree flow for example nandpsu: Update the driver to support for system device-tree flow clk_wiz: Formatting changes clk_wiz: Update examples for SDT flow clk_wiz: Update driver for SDT flow. clk_wiz: Update the driver version gpio: Fix code formatting issues gpio: Add support for system device-tree flow fot gpio examples gpio: Add support for system device-tree flow openamp: apps: Add missing cmake toolchain file for SDT flow Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 0808c72a..8fbda388 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "138cbe3f272b79dfbaa7f80dfde039688f8612b5" +ESW_REV[2023.2] = "c0718491347a83ba40dbc96dfdb2130dd8743363" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 1997109bea5e814136e4595674063d335819cf3d Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 18 Jul 2023 12:53:02 +0530 Subject: dts : Updated SRCREV for 2023.2_6387 vek280: change ospi part versal: Introduce a vitis specific vek280 cosim board versal: Add support for xcve2102 device Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb index 4ff0274f..f21f486c 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb @@ -2,5 +2,5 @@ require qemu-devicetrees.inc BRANCH ?= "master" -SRCREV ?= "21f91ab3370ec9ca3a17a1b7c9377d2ef5953601" +SRCREV ?= "405fe102460072b8d8c79a6d0ab46f621ac8feb2" -- cgit v1.2.3-54-g00ecf From e64e4a29315dc34b61b4290523dabb52f913baff Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 19 Jul 2023 13:08:57 +0530 Subject: qemu : Updated SRCREV for 2023.2_6051 hw/misc/xlnx-versal-pmc-clk-rst.c: fix the PL reset polarity Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc index ebf30210..8e82676c 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc @@ -1,3 +1,3 @@ XILINX_QEMU_VERSION = "v7.1.0" BRANCH = "master" -SRCREV = "52b0a149723bb64a75969c1f683fe2d4b6a22f03" +SRCREV = "82eb8c6b57298a9c2bf5aa875bf8633499357033" -- cgit v1.2.3-54-g00ecf From ed8f6491c1e5ce1d1a08f559023c05431f990b9f Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 19 Jul 2023 13:14:57 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_4743 v4l2: xilinx: vpss-scaler: fix kernel doc typo for function names Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 6d45f90e..3528b75c 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "153f7bc5328f94aaf844698f816800996821a96b" +SRCREV = "65e83cb0575c6ac3d8faf68bc7de6cdcad76ddb2" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 95a9c3f754aa1d188ec0a7ba8c7e6c770d1d2c31 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 19 Jul 2023 13:13:30 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_3455 emacps: Fix cfg ptr error xiltimer: Add proper checks freertos10_xilinx: Fix SDT flow for microblaze driver: trngpsv: added SDT support sw_services: xilmailbox: Add example support in SDT flow xilpm: versal_net: Save and Restore XPm_Reqm xilflash: Fix code formatting issues with checkpatch xliflash: Add AMD copyright information xilflash: Add support for system device-tree flow for example xilflash: Update lib to support for system device-tree flow lib: sw_apps: freertos_lwip_udp_perf_client: Add SDT code deviations lib: sw_apps: freertos_lwip_udp_perf_client: Add SDT metadata files lib: sw_apps: freertos_lwip_udp_perf_server: Add SDT flow deviations lib: sw_apps: freertos_lwip_udp_perf_server: Add SDT flow metadata files lib: sw_apps: freertos_lwip_tcp_perf_client: Add SDT deviations lib: sw_apps: freertos_lwip_tcp_perf_client: Add SDT metada files lib: sw_apps: freertos_lwip_tcp_perf_server: Add SDT deviations lib: sw_apps: freertos_lwip_tcp_server: Add SDT metadata lib: sw_apps: freertos_lwip_echo_server: Add SDT code deviations lib: sw_apps: freertos_lwip_echo_server: Add SDT Flow. lib: sw_apps: lwip_udp_server_client: Add SDT deviations lib: sw_apps: lwip_udp_perf_client: Add SDT metadata files lib: sw_apps: lwip_udp_perf_server: Add SDT flow deviations lib: sw_apps: lwip_udp_perf_server: Add SDT metada files lib: sw_apps: lwip_tcp_perf_client: Add SDT flow deviations lib: sw_apps: lwip_tcp_perf_client: Add SDT metadata files lib: sw_apps: lwip_tcp_perf_server: Add SDT deviations lib: sw_apps: lwip_tcp_perf_server: Add SDT metada files lib: sw_apps: lwip_echo_server: Add SDT flow deviations lib: sw_apps: lwip_echo_server: Add metadata for SDT flow sw_apps: srec_spi_bootloader: Fix style issues sw_apps:srec_spi_bootloader: Support SDT flow trafgen: Fix the disable interrupt uartns550: Add outbyte and inbyte in SDT flow axicdma: Add support for peripheral test in SDT flow cmake: Fix Microblaze BSP failure on windows cmake: Fix microblaze based toolchain files for windows uartlite: Add outbyte and inbyte in SDT flow sdps: Fix code format issues sdps: Add support for system device-tree flow for examples sdps: Add support for system device-tree flow xilffs: Fix code format issues xilffs: Add support for system device-tree flow for examples xilffs: Add support for system device-tree flow scripts: pyesw: library_utils: Update the gen_lib_metadata() API to generate pm_cfg_obj.c for xilpm library scripts: pyesw: library_utils: Fix race condition in populating the param value scripts: pyesw: create_example: Fix compilation errors on windows scripts: pyesw: config_bsp: Fix set_repo_path for windows scripts: pyesw: Update link libraries based on the available libraries in the bsp rfdc: Updated examples to SDT flow SDT: Update libmetal driver yamls hello_world/empty_app: Correct the processor names in the application yamls scugic: Adding a SDT check to not rely on one of the xparameter definition BSP: cortexr5: Fix SDT flow for CortexR5 BSP: cortexr5: Support SDT flow for CortexR52 BSP standalone: cortexr5: Moving the versal r5 specific files to CortexR5 folder standalone: CMakeLists/headers: Adding versal-net specific checks and R52 specific files linker_files: Adding support for versal-net specific linker files standalone: yaml: Adding versal-net specific entries in standalone yaml files cmake: toolchainfiles: Adding new toolchainfiles for versal-net rfdc: Fix issues with SDT sw_services:xilsecure:Loading partial PDI when SHA core is busy sw_services:xilsecure:Throw an error when AES/SHA is already in use tmrctr: Update signatures of examples being used in peripheral tests BSP: intr: Fix style issues tmrctr: Fix style issues intc: Fix style issues tmrctr: Port examples to SDT flow intc: Port examples to SDT flow intc: Add support for SDT flow BSP: Fix xinterrupt_wrap APIs for AXI INTC xxvethernet: Fix code formatting in examples xxvethernet: examples: Add support for system device-tree flow drivers: xxvethernet: Add device-tree flow support for xxvethernet axienet: Add support for system device-tree axienet: Update axienet driver to support SDT and non-SDT flows axienet: Update tcl script to support opensource macros axienet: Update driver version to 5.16 mcdma: Update driver to support SDT flow emaclite: examples: Update code formatting emaclite: examples: Add system device-tree flow support drivers: emaclite: Add support for device-tree flow iicps: Fix code formatting issues with checkpatch iicps: Add support for system device-tree flow for iicps examples iicps: Add support for system device-tree flow spi: Fix code formatting issues with checkpatch spi: Add support for system device-tree flow for examples spi: Add support for system device-tree flow mutex: Add support for peripheral tests in SDT flow xdmapcie: Add examples in YAML for SDT flow pciepsu: Add properties to support SDT flow Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 8fbda388..fa6079d5 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "c0718491347a83ba40dbc96dfdb2130dd8743363" +ESW_REV[2023.2] = "90843c3dbbd679da4179da21d94b5ac8930747bf" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 521e08af0f78fc6294153f2b33b4214b9bceb75b Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 19 Jul 2023 13:15:20 +0530 Subject: dts : Updated SRCREV for 2023.2_4187 versal-psx-apu: fix the number of IRQs Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb index f21f486c..5495029f 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb @@ -2,5 +2,5 @@ require qemu-devicetrees.inc BRANCH ?= "master" -SRCREV ?= "405fe102460072b8d8c79a6d0ab46f621ac8feb2" +SRCREV ?= "0099560e51a915e11f9cfede98ee14abc5644cb8" -- cgit v1.2.3-54-g00ecf From df1e65407dcdeb88b19747bc9c3db8bb7f23e7d1 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Mon, 17 Jul 2023 12:47:33 +0530 Subject: Updated SRCREV of vdu-modules for 2023.2_9255 Make reset-gpios as an optional property Signed-off-by: Siva Addepalli Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb index 57238e94..f9a052aa 100644 --- a/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb @@ -13,7 +13,7 @@ FILESEXTRAPATHS:prepend := "${THISDIR}/files:" BRANCH ?= "master" REPO ?= "git://github.com/Xilinx/vdu-modules.git;protocol=https" -SRCREV ?= "82d06e395c93a1e941b83cccbb6f2e4e6d966f1c" +SRCREV ?= "466757f4580611f888567fe7a521bf31c7dc7b36" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG} \ -- cgit v1.2.3-54-g00ecf From f53e4a2eed4fe7581711c6feb59f8e8ab249cf29 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Tue, 11 Jul 2023 17:36:40 -0600 Subject: microblaze-generic: Add target fpu hard TUNE FEATURES Since microblaze-generic machine conf file uses kcu105 xsa, target fpu hard TUNE_FEATURES were missing hence add it. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-core/conf/machine/microblaze-generic.conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/conf/machine/microblaze-generic.conf b/meta-xilinx-core/conf/machine/microblaze-generic.conf index db1476ed..9b811aa5 100644 --- a/meta-xilinx-core/conf/machine/microblaze-generic.conf +++ b/meta-xilinx-core/conf/machine/microblaze-generic.conf @@ -12,7 +12,7 @@ MACHINEOVERRIDES =. "${@['', 'microblaze-generic:']['microblaze-generic' != '${M #### Regular settings follow # Set the default for a modern full feature microblaze... -TUNE_FEATURES:tune-microblaze ?= "microblaze v11.0 barrel-shift pattern-compare reorder divide-hard multiply-high" +TUNE_FEATURES:tune-microblaze ?= "microblaze v11.0 pattern-compare barrel-shift divide-hard multiply-high fpu-hard reorder" DEFAULTTUNE ?= "microblaze" # Variables that changes based on hw design or board specific requirement must be -- cgit v1.2.3-54-g00ecf From 9952d5a6cb4e44b9bc4df34f88d2ca3834c0df81 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Thu, 20 Jul 2023 12:45:12 +0530 Subject: Updated Commit ID config_versal: enabling lower ddr bank default for versal gen-machine-scripts: Deprecate zcu1275 and zcu1285 machines Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index eae43f1f..fd4083c7 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit eae43f1ff1c5216ff75ce274e0c4251fc0e7743f +Subproject commit fd4083c7fbe60af63cd825b958c1d4ceeadd1467 -- cgit v1.2.3-54-g00ecf From 74b1f643806f2e8462f39561c24926781532ae56 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 20 Jul 2023 12:53:16 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_9779 arm64: zynqmp: Add resets property for CAN nodes crypto: xilinx: Add support for device keysrc misc: Add driver support for PUF firmware: xilinx: Add physically unclonable function (PUF) interface API for versal drivers: iio: adc: versal-sysmon: Add I2C driver drivers: iio: adc: Split driver into common and platform Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 3528b75c..a333a3d9 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "65e83cb0575c6ac3d8faf68bc7de6cdcad76ddb2" +SRCREV = "3cd089ee0665f5d9da7b7e0a4598c86796e890df" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 5f973d214a9a82b8532818b7dbc73097a064ba0b Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Tue, 18 Jul 2023 16:13:41 -0600 Subject: machine: Add SERIAL_CONSOLES_CHECK for sysvinit only SERIAL_CONSOLES_CHECK is supported only for sysvinit and default INIT Manager is systemd, hence move this variable from machine conf files to machine-xilinx-default inclusion and set only if DISTRO_FEATURES is sysvinit. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-core/conf/machine/include/machine-xilinx-default.inc | 3 +++ meta-xilinx-core/conf/machine/microblaze-generic.conf | 1 - meta-xilinx-core/conf/machine/versal-generic.conf | 1 - meta-xilinx-core/conf/machine/zynq-generic.conf | 1 - meta-xilinx-core/conf/machine/zynqmp-generic.conf | 1 - 5 files changed, 3 insertions(+), 4 deletions(-) diff --git a/meta-xilinx-core/conf/machine/include/machine-xilinx-default.inc b/meta-xilinx-core/conf/machine/include/machine-xilinx-default.inc index 4a4384a1..16aa1316 100644 --- a/meta-xilinx-core/conf/machine/include/machine-xilinx-default.inc +++ b/meta-xilinx-core/conf/machine/include/machine-xilinx-default.inc @@ -128,3 +128,6 @@ def get_default_image_boot_files(d): XSERVER_EXT ?= "" FPGA_MNGR_RECONFIG_ENABLE ?= "1" + +# This variable is supported only with SysVinit. +SERIAL_CONSOLES_CHECK = "${@bb.utils.contains('DISTRO_FEATURES', 'sysvinit', '${SERIAL_CONSOLES}', '', d)}" diff --git a/meta-xilinx-core/conf/machine/microblaze-generic.conf b/meta-xilinx-core/conf/machine/microblaze-generic.conf index 9b811aa5..ac785a17 100644 --- a/meta-xilinx-core/conf/machine/microblaze-generic.conf +++ b/meta-xilinx-core/conf/machine/microblaze-generic.conf @@ -45,7 +45,6 @@ KERNEL_EXTRA_ARGS += "UIMAGE_LOADADDR=${UBOOT_ENTRYPOINT}" # Microblaze Serial Console settings SERIAL_CONSOLES ?= "115200;ttyUL0" -SERIAL_CONSOLES_CHECK = "${SERIAL_CONSOLES}" YAML_SERIAL_CONSOLE_BAUDRATE ?= "115200" require conf/machine/include/soc-tune-include.inc diff --git a/meta-xilinx-core/conf/machine/versal-generic.conf b/meta-xilinx-core/conf/machine/versal-generic.conf index 3bf38b23..f87dc140 100644 --- a/meta-xilinx-core/conf/machine/versal-generic.conf +++ b/meta-xilinx-core/conf/machine/versal-generic.conf @@ -33,7 +33,6 @@ UBOOT_LOADADDRESS ?= "0x200000" # Versal Serial Console SERIAL_CONSOLES ?= "115200;ttyAMA0" -SERIAL_CONSOLES_CHECK = "${SERIAL_CONSOLES}" YAML_SERIAL_CONSOLE_BAUDRATE ?= "115200" require conf/machine/include/soc-versal.inc diff --git a/meta-xilinx-core/conf/machine/zynq-generic.conf b/meta-xilinx-core/conf/machine/zynq-generic.conf index 0409e4ba..e97be2ff 100644 --- a/meta-xilinx-core/conf/machine/zynq-generic.conf +++ b/meta-xilinx-core/conf/machine/zynq-generic.conf @@ -32,7 +32,6 @@ KERNEL_EXTRA_ARGS += "UIMAGE_LOADADDR=${UBOOT_ENTRYPOINT}" # Zynq-7000 Serial Console settings SERIAL_CONSOLES ?= "115200;ttyPS0" -SERIAL_CONSOLES_CHECK = "${SERIAL_CONSOLES}" YAML_SERIAL_CONSOLE_BAUDRATE ?= "115200" require conf/machine/include/soc-zynq.inc diff --git a/meta-xilinx-core/conf/machine/zynqmp-generic.conf b/meta-xilinx-core/conf/machine/zynqmp-generic.conf index 5faa3095..b60014df 100644 --- a/meta-xilinx-core/conf/machine/zynqmp-generic.conf +++ b/meta-xilinx-core/conf/machine/zynqmp-generic.conf @@ -44,7 +44,6 @@ UBOOT_LOADADDRESS ?= "0x200000" # ZynqMP Serial Console SERIAL_CONSOLES ?= "115200;ttyPS0" -SERIAL_CONSOLES_CHECK = "${SERIAL_CONSOLES}" YAML_SERIAL_CONSOLE_BAUDRATE ?= "115200" require conf/machine/include/soc-zynqmp.inc -- cgit v1.2.3-54-g00ecf From ca01be9fda6e842753f9aab78bc4430cbdf665f5 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Tue, 18 Jul 2023 16:13:42 -0600 Subject: microblaze-generic: Update machine conf file using gen-machineconf tool 1. Update machine conf file using gen-machineconf tool by parsing latest kcu105 xsa and reorder the variables to match the gen-machineconf tool output. 2. Add axi-quad-spi as kcu105 reference xsa has this ip enabled. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- .../conf/machine/microblaze-generic.conf | 21 +++++++++++---------- 1 file changed, 11 insertions(+), 10 deletions(-) diff --git a/meta-xilinx-core/conf/machine/microblaze-generic.conf b/meta-xilinx-core/conf/machine/microblaze-generic.conf index ac785a17..5bf87344 100644 --- a/meta-xilinx-core/conf/machine/microblaze-generic.conf +++ b/meta-xilinx-core/conf/machine/microblaze-generic.conf @@ -19,10 +19,19 @@ DEFAULTTUNE ?= "microblaze" # defined before calling the required inclusion file else pre-expansion value # defined in local.conf without machine override will not be reflected. +# Yocto Microblaze device-tree variables +YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree ?= "axi_uartlite_0" +YAML_MAIN_MEMORY_CONFIG:pn-device-tree ?= "DDR4_0" +DT_PADDING_SIZE:pn-device-tree ?= "0x1000" +DTC_FLAGS:pn-device-tree ?= "" +XSCTH_PROC:pn-device-tree ?= "microblaze_0" +YAML_DT_BOARD_FLAGS ?= "{BOARD kcu105}" + # Yocto Microblaze FS-Boot variables YAML_SERIAL_CONSOLE_STDIN:pn-fs-boot ?= "axi_uartlite_0" YAML_SERIAL_CONSOLE_STDOUT:pn-fs-boot ?= "axi_uartlite_0" YAML_MAIN_MEMORY_CONFIG:pn-fs-boot ?= "DDR4_0" +YAML_FLASH_MEMORY_CONFIG:pn-fs-boot ?= "axi_quad_spi_0" XSCTH_PROC:pn-fs-boot ?= "microblaze_0" # Yocto Microblaze u-boot-xlnx variables @@ -30,14 +39,6 @@ UBOOT_MACHINE ?= "microblaze-generic_defconfig" UBOOT_INITIAL_ENV = "" BOOTMODE ?= "generic.root" -# Yocto Microblaze device-tree variables -YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree ?= "axi_uartlite_0" -YAML_MAIN_MEMORY_CONFIG:pn-device-tree ?= "DDR4_0" -DT_PADDING_SIZE:pn-device-tree ?= "0x1000" -DTC_FLAGS:pn-device-tree ?= "" -XSCTH_PROC:pn-device-tree ?= "microblaze_0" -YAML_DT_BOARD_FLAGS ?= "{BOARD kcu105}" - # Yocto Microblaze KERNEL Variables UBOOT_ENTRYPOINT ?= "0x80000000" UBOOT_LOADADDRESS ?= "0x80000000" @@ -72,8 +73,8 @@ KERNEL_IMAGETYPES = "" MACHINE_ESSENTIAL_EXTRA_RDEPENDS += "${PREFERRED_PROVIDER_virtual/dtb}" IMAGE_BOOT_FILES += " \ - ${@bb.utils.contains('PREFERRED_PROVIDER_virtual/dtb', 'device-tree', 'system.dtb', '', d)} \ - " + ${@bb.utils.contains('PREFERRED_PROVIDER_virtual/dtb', 'device-tree', 'system.dtb', '', d)} \ + " EXTRA_IMAGEDEPENDS += " \ libyaml-native \ -- cgit v1.2.3-54-g00ecf From db2ce4c937dc1f20ef439c17131a3613cb256236 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Tue, 18 Jul 2023 16:13:43 -0600 Subject: machine: Update machine conf file using gen-machineconf tool 1. Add missing space to append operation for machine files generated by gen-machineconf tool. 2. Update all machine conf file using gen-machineconf tool by parsing latest xsa. a. Reorder the variables to match the gen-machineconf tool output. b. Add any missing or new variables. 3. Remove machine overrides for XSCTH_PROC variable. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- .../conf/machine/ac701-microblazeel.conf | 24 ++++++++++++++-------- .../conf/machine/kc705-microblazeel.conf | 12 +++++------ .../conf/machine/kcu105-microblazeel.conf | 24 ++++++++++++++-------- meta-xilinx-bsp/conf/machine/vck190-versal.conf | 2 +- meta-xilinx-bsp/conf/machine/vck5000-versal.conf | 2 +- .../conf/machine/vcu118-microblazeel.conf | 20 ++++++++++-------- meta-xilinx-bsp/conf/machine/vek280-versal.conf | 10 ++++++++- meta-xilinx-bsp/conf/machine/vhk158-versal.conf | 4 ++-- meta-xilinx-bsp/conf/machine/vmk180-versal.conf | 2 +- meta-xilinx-bsp/conf/machine/vpk120-versal.conf | 4 ++-- meta-xilinx-bsp/conf/machine/vpk180-versal.conf | 4 ++-- meta-xilinx-bsp/conf/machine/zc702-zynq7.conf | 2 +- meta-xilinx-bsp/conf/machine/zc706-zynq7.conf | 4 ++-- meta-xilinx-bsp/conf/machine/zcu102-zynqmp.conf | 2 +- meta-xilinx-bsp/conf/machine/zcu104-zynqmp.conf | 3 ++- meta-xilinx-bsp/conf/machine/zcu106-zynqmp.conf | 3 ++- meta-xilinx-bsp/conf/machine/zcu111-zynqmp.conf | 2 +- meta-xilinx-bsp/conf/machine/zcu208-zynqmp.conf | 2 +- meta-xilinx-bsp/conf/machine/zcu216-zynqmp.conf | 2 +- meta-xilinx-bsp/conf/machine/zcu670-zynqmp.conf | 2 +- 20 files changed, 80 insertions(+), 50 deletions(-) diff --git a/meta-xilinx-bsp/conf/machine/ac701-microblazeel.conf b/meta-xilinx-bsp/conf/machine/ac701-microblazeel.conf index 88a0d7bb..27cb3939 100644 --- a/meta-xilinx-bsp/conf/machine/ac701-microblazeel.conf +++ b/meta-xilinx-bsp/conf/machine/ac701-microblazeel.conf @@ -10,13 +10,6 @@ MACHINEOVERRIDES =. "${@['', 'ac701-microblazeel:']['ac701-microblazeel' !='${MA # defined before calling the required inclusion file else pre-expansion value # defined in microblazeel-generic.conf will be set. -# Yocto AC701 FS-Boot variables -YAML_SERIAL_CONSOLE_STDIN:pn-fs-boot ?= "axi_uartlite_0" -YAML_SERIAL_CONSOLE_STDOUT:pn-fs-boot ?= "axi_uartlite_0" -YAML_MAIN_MEMORY_CONFIG:pn-fs-boot ?= "MIG_7SERIES_0" -YAML_FLASH_MEMORY_CONFIG:pn-fs-boot ?= "axi_quad_spi_0" -XSCTH_PROC:pn-fs-boot ?= "microblaze_0" - # Yocto AC701 device-tree variables YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree ?= "axi_uartlite_0" YAML_MAIN_MEMORY_CONFIG:pn-device-tree ?= "MIG_7SERIES_0" @@ -25,6 +18,21 @@ DTC_FLAGS:pn-device-tree ?= "" XSCTH_PROC:pn-device-tree ?= "microblaze_0" YAML_DT_BOARD_FLAGS ?= "{BOARD ac701-full}" +# Yocto FS-Boot variables +YAML_SERIAL_CONSOLE_STDIN:pn-fs-boot ?= "axi_uartlite_0" +YAML_SERIAL_CONSOLE_STDOUT:pn-fs-boot ?= "axi_uartlite_0" +YAML_MAIN_MEMORY_CONFIG:pn-fs-boot ?= "MIG_7SERIES_0" +YAML_FLASH_MEMORY_CONFIG:pn-fs-boot ?= "axi_quad_spi_0" +XSCTH_PROC:pn-fs-boot ?= "microblaze_0" + +# Yocto KERNEL Variables +UBOOT_ENTRYPOINT ?= "0x80000000" +UBOOT_LOADADDRESS ?= "0x80000000" + +# ac701-microblazeel Serial Console +SERIAL_CONSOLES ?= "115200;ttyUL0" +YAML_SERIAL_CONSOLE_BAUDRATE ?= "115200" + # Set DDR Base address for u-boot-xlnx-scr variables DDR_BASEADDR ?= "0x80000000" SKIP_APPEND_BASEADDR ?= "0" @@ -39,4 +47,4 @@ HDF_MACHINE = "ac701-microblazeel" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'ac701_microblazeel']['ac701-microblazeel' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' ac701_microblazeel']['ac701-microblazeel' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/kc705-microblazeel.conf b/meta-xilinx-bsp/conf/machine/kc705-microblazeel.conf index f24d0356..f3236f07 100644 --- a/meta-xilinx-bsp/conf/machine/kc705-microblazeel.conf +++ b/meta-xilinx-bsp/conf/machine/kc705-microblazeel.conf @@ -15,7 +15,7 @@ YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree ?= "axi_uartlite_0" YAML_MAIN_MEMORY_CONFIG:pn-device-tree ?= "MIG_7SERIES_0" DT_PADDING_SIZE:pn-device-tree ?= "0x1000" DTC_FLAGS:pn-device-tree ?= "" -XSCTH_PROC:microblaze:pn-device-tree ?= "microblaze_0" +XSCTH_PROC:pn-device-tree ?= "microblaze_0" YAML_DT_BOARD_FLAGS ?= "{BOARD kc705-full}" # Yocto FS-Boot variables @@ -29,14 +29,14 @@ XSCTH_PROC:pn-fs-boot ?= "microblaze_0" UBOOT_ENTRYPOINT ?= "0x80000000" UBOOT_LOADADDRESS ?= "0x80000000" -# Set DDR Base address for u-boot-xlnx-scr variables -DDR_BASEADDR ?= "0x80000000" -SKIP_APPEND_BASEADDR ?= "0" - # kc705-microblazeel Serial Console SERIAL_CONSOLES ?= "115200;ttyUL0" YAML_SERIAL_CONSOLE_BAUDRATE ?= "115200" +# Set DDR Base address for u-boot-xlnx-scr variables +DDR_BASEADDR ?= "0x80000000" +SKIP_APPEND_BASEADDR ?= "0" + # Required generic machine inclusion require conf/machine/microblaze-generic.conf @@ -47,4 +47,4 @@ HDF_MACHINE = "kc705-microblazeel" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'kc705_microblazeel']['kc705-microblazeel' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' kc705_microblazeel']['kc705-microblazeel' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/kcu105-microblazeel.conf b/meta-xilinx-bsp/conf/machine/kcu105-microblazeel.conf index 3edf190e..a866f87c 100644 --- a/meta-xilinx-bsp/conf/machine/kcu105-microblazeel.conf +++ b/meta-xilinx-bsp/conf/machine/kcu105-microblazeel.conf @@ -10,13 +10,6 @@ MACHINEOVERRIDES =. "${@['', 'kcu105-microblazeel:']['kcu105-microblazeel' !='${ # defined before calling the required inclusion file else pre-expansion value # defined in microblazeel-generic.conf will be set. -# Yocto KCU105 FS-Boot variables -YAML_SERIAL_CONSOLE_STDIN:pn-fs-boot ?= "axi_uartlite_0" -YAML_SERIAL_CONSOLE_STDOUT:pn-fs-boot ?= "axi_uartlite_0" -YAML_MAIN_MEMORY_CONFIG:pn-fs-boot ?= "DDR4_0" -YAML_FLASH_MEMORY_CONFIG:pn-fs-boot ?= "axi_quad_spi_0" -XSCTH_PROC:pn-fs-boot ?= "microblaze_0" - # Yocto KCU105 device-tree variables YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree ?= "axi_uartlite_0" YAML_MAIN_MEMORY_CONFIG:pn-device-tree ?= "DDR4_0" @@ -25,6 +18,21 @@ DTC_FLAGS:pn-device-tree ?= "" XSCTH_PROC:pn-device-tree ?= "microblaze_0" YAML_DT_BOARD_FLAGS ?= "{BOARD kcu105}" +# Yocto FS-Boot variables +YAML_SERIAL_CONSOLE_STDIN:pn-fs-boot ?= "axi_uartlite_0" +YAML_SERIAL_CONSOLE_STDOUT:pn-fs-boot ?= "axi_uartlite_0" +YAML_MAIN_MEMORY_CONFIG:pn-fs-boot ?= "DDR4_0" +YAML_FLASH_MEMORY_CONFIG:pn-fs-boot ?= "axi_quad_spi_0" +XSCTH_PROC:pn-fs-boot ?= "microblaze_0" + +# Yocto KERNEL Variables +UBOOT_ENTRYPOINT ?= "0x80000000" +UBOOT_LOADADDRESS ?= "0x80000000" + +# kcu105-microblazeel Serial Console +SERIAL_CONSOLES ?= "115200;ttyUL0" +YAML_SERIAL_CONSOLE_BAUDRATE ?= "115200" + # Set DDR Base address for u-boot-xlnx-scr variables DDR_BASEADDR ?= "0x80000000" SKIP_APPEND_BASEADDR ?= "0" @@ -39,4 +47,4 @@ HDF_MACHINE = "kcu105-microblazeel" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'kcu105_microblazeel']['kcu105-microblazeel' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' kcu105_microblazeel']['kcu105-microblazeel' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/vck190-versal.conf b/meta-xilinx-bsp/conf/machine/vck190-versal.conf index 02f1c015..db5d0a95 100644 --- a/meta-xilinx-bsp/conf/machine/vck190-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vck190-versal.conf @@ -42,4 +42,4 @@ HDF_MACHINE = "vck190-versal" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'vck190_versal']['vck190-versal' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' vck190_versal']['vck190-versal' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/vck5000-versal.conf b/meta-xilinx-bsp/conf/machine/vck5000-versal.conf index e77a4cfc..975cde93 100644 --- a/meta-xilinx-bsp/conf/machine/vck5000-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vck5000-versal.conf @@ -42,4 +42,4 @@ HDF_MACHINE = "vck5000-versal" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'vck5000_versal']['vck5000-versal' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' vck5000_versal']['vck5000-versal' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/vcu118-microblazeel.conf b/meta-xilinx-bsp/conf/machine/vcu118-microblazeel.conf index ada52ceb..bfd60336 100644 --- a/meta-xilinx-bsp/conf/machine/vcu118-microblazeel.conf +++ b/meta-xilinx-bsp/conf/machine/vcu118-microblazeel.conf @@ -10,13 +10,6 @@ MACHINEOVERRIDES =. "${@['', 'vcu118-microblazeel:']['vcu118-microblazeel' !='${ # defined before calling the required inclusion file else pre-expansion value # defined in microblazeel-generic.conf will be set. -# Yocto VCU118 FS-Boot variables -YAML_SERIAL_CONSOLE_STDIN:pn-fs-boot ?= "axi_uartlite_0" -YAML_SERIAL_CONSOLE_STDOUT:pn-fs-boot ?= "axi_uartlite_0" -YAML_MAIN_MEMORY_CONFIG:pn-fs-boot ?= "DDR4_0" -YAML_FLASH_MEMORY_CONFIG:pn-fs-boot ?= "axi_quad_spi_0" -XSCTH_PROC:pn-fs-boot ?= "microblaze_0" - # Yocto VCU118 device-tree variables YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree ?= "axi_uartlite_0" YAML_MAIN_MEMORY_CONFIG:pn-device-tree ?= "DDR4_0" @@ -25,10 +18,21 @@ DTC_FLAGS:pn-device-tree ?= "" XSCTH_PROC:pn-device-tree ?= "microblaze_0" YAML_DT_BOARD_FLAGS ?= "{BOARD vcu118-rev2.0}" +# Yocto FS-Boot variables +YAML_SERIAL_CONSOLE_STDIN:pn-fs-boot ?= "axi_uartlite_0" +YAML_SERIAL_CONSOLE_STDOUT:pn-fs-boot ?= "axi_uartlite_0" +YAML_MAIN_MEMORY_CONFIG:pn-fs-boot ?= "DDR4_0" +YAML_FLASH_MEMORY_CONFIG:pn-fs-boot ?= "axi_quad_spi_0" +XSCTH_PROC:pn-fs-boot ?= "microblaze_0" + # Yocto KERNEL Variables UBOOT_ENTRYPOINT ?= "0x80000000" UBOOT_LOADADDRESS ?= "0x80000000" +# vcu118-microblazeel Serial Console +SERIAL_CONSOLES ?= "115200;ttyUL0" +YAML_SERIAL_CONSOLE_BAUDRATE ?= "115200" + # Set DDR Base address for u-boot-xlnx-scr variables DDR_BASEADDR ?= "0x80000000" SKIP_APPEND_BASEADDR ?= "0" @@ -43,4 +47,4 @@ HDF_MACHINE = "vcu118-microblazeel" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'vcu118_microblazeel']['vcu118-microblazeel' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' vcu118_microblazeel']['vcu118-microblazeel' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/vek280-versal.conf b/meta-xilinx-bsp/conf/machine/vek280-versal.conf index 457a49d6..75723946 100644 --- a/meta-xilinx-bsp/conf/machine/vek280-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vek280-versal.conf @@ -14,10 +14,18 @@ MACHINEOVERRIDES =. "${@['', 'vek280-versal:']['vek280-versal' !='${MACHINE}']}" YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree ?= "CIPS_0_pspmc_0_psv_sbsauart_0" YAML_DT_BOARD_FLAGS ?= "{BOARD versal-vek280-revb}" +# Yocto arm-trusted-firmware(TF-A) variables +ATF_CONSOLE_DEFAULT ?= "pl011" +TFA_BL33_LOAD ?= "0x8000000" + # Yocto PLM variables YAML_SERIAL_CONSOLE_STDIN:pn-plm-firmware ?= "CIPS_0_pspmc_0_psv_sbsauart_0" YAML_SERIAL_CONSOLE_STDOUT:pn-plm-firmware ?= "CIPS_0_pspmc_0_psv_sbsauart_0" +# Yocto KERNEL Variables +UBOOT_ENTRYPOINT ?= "0x200000" +UBOOT_LOADADDRESS ?= "0x200000" + # vek280-versal Serial Console SERIAL_CONSOLES ?= "115200;ttyAMA0" YAML_SERIAL_CONSOLE_BAUDRATE ?= "115200" @@ -42,4 +50,4 @@ MACHINE_FEATURES += "vdu" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'vek280_versal']['vek280-versal' != '${MACHINE}']}" \ No newline at end of file +PACKAGE_EXTRA_ARCHS:append = "${@['', ' vek280_versal']['vek280-versal' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/vhk158-versal.conf b/meta-xilinx-bsp/conf/machine/vhk158-versal.conf index 5c0406de..e22f264c 100644 --- a/meta-xilinx-bsp/conf/machine/vhk158-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vhk158-versal.conf @@ -26,7 +26,7 @@ YAML_SERIAL_CONSOLE_STDOUT:pn-plm-firmware ?= "versal_cips_0_pspmc_0_psv_sbsauar UBOOT_ENTRYPOINT ?= "0x200000" UBOOT_LOADADDRESS ?= "0x200000" -# vhk158-versal Serial Console +# vhk158-versal Serial Console SERIAL_CONSOLES ?= "115200;ttyAMA0" YAML_SERIAL_CONSOLE_BAUDRATE ?= "115200" @@ -49,4 +49,4 @@ QEMU_HW_DTB_PMC = "${QEMU_HW_DTB_PATH}/board-versal-pmc-virt.dtb" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'vhk158_versal']['vhk158-versal' != '${MACHINE}']}" \ No newline at end of file +PACKAGE_EXTRA_ARCHS:append = "${@['', ' vhk158_versal']['vhk158-versal' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/vmk180-versal.conf b/meta-xilinx-bsp/conf/machine/vmk180-versal.conf index 2ccbca05..d0d58b0f 100644 --- a/meta-xilinx-bsp/conf/machine/vmk180-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vmk180-versal.conf @@ -42,4 +42,4 @@ HDF_MACHINE = "vmk180-versal" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'vmk180_versal']['vmk180-versal' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' vmk180_versal']['vmk180-versal' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/vpk120-versal.conf b/meta-xilinx-bsp/conf/machine/vpk120-versal.conf index 8eb6dea4..b9f36564 100644 --- a/meta-xilinx-bsp/conf/machine/vpk120-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vpk120-versal.conf @@ -26,7 +26,7 @@ YAML_SERIAL_CONSOLE_STDOUT:pn-plm-firmware ?= "versal_cips_0_pspmc_0_psv_sbsauar UBOOT_ENTRYPOINT ?= "0x200000" UBOOT_LOADADDRESS ?= "0x200000" -# vpk120-versal Serial Console +# vpk120-versal Serial Console SERIAL_CONSOLES ?= "115200;ttyAMA0" YAML_SERIAL_CONSOLE_BAUDRATE ?= "115200" @@ -45,4 +45,4 @@ QEMU_HW_DTB_PMC = "${QEMU_HW_DTB_PATH}/board-versal-pmc-virt.dtb" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'vpk120_versal']['vpk120-versal' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' vpk120_versal']['vpk120-versal' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/vpk180-versal.conf b/meta-xilinx-bsp/conf/machine/vpk180-versal.conf index 099cd956..9b06ef8f 100644 --- a/meta-xilinx-bsp/conf/machine/vpk180-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vpk180-versal.conf @@ -26,7 +26,7 @@ YAML_SERIAL_CONSOLE_STDOUT:pn-plm-firmware ?= "versal_cips_0_pspmc_0_psv_sbsauar UBOOT_ENTRYPOINT ?= "0x200000" UBOOT_LOADADDRESS ?= "0x200000" -# vpk180-versal Serial Console +# vpk180-versal Serial Console SERIAL_CONSOLES ?= "115200;ttyAMA0" YAML_SERIAL_CONSOLE_BAUDRATE ?= "115200" @@ -45,4 +45,4 @@ QEMU_HW_DTB_PMC = "${QEMU_HW_DTB_PATH}/board-versal-pmc-virt.dtb" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'vpk180_versal']['vpk180-versal' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' vpk180_versal']['vpk180-versal' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/zc702-zynq7.conf b/meta-xilinx-bsp/conf/machine/zc702-zynq7.conf index dc6f55b1..1db0616f 100644 --- a/meta-xilinx-bsp/conf/machine/zc702-zynq7.conf +++ b/meta-xilinx-bsp/conf/machine/zc702-zynq7.conf @@ -41,4 +41,4 @@ HDF_MACHINE = "zc702-zynq7" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'zc702_zynq7']['zc702-zynq7' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' zc702_zynq7']['zc702-zynq7' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/zc706-zynq7.conf b/meta-xilinx-bsp/conf/machine/zc706-zynq7.conf index e6b7ce57..7e0525d1 100644 --- a/meta-xilinx-bsp/conf/machine/zc706-zynq7.conf +++ b/meta-xilinx-bsp/conf/machine/zc706-zynq7.conf @@ -23,7 +23,7 @@ YAML_SERIAL_CONSOLE_STDOUT:pn-fsbl-firmware ?= "ps7_uart_1" UBOOT_ENTRYPOINT ?= "0x200000" UBOOT_LOADADDRESS ?= "0x200000" -# zc702-zynq7 Serial Console +# zc706-zynq7 Serial Console SERIAL_CONSOLES ?= "115200;ttyPS0" YAML_SERIAL_CONSOLE_BAUDRATE ?= "115200" @@ -41,4 +41,4 @@ HDF_MACHINE = "zc706-zynq7" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'zc706_zynq7']['zc706-zynq7' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' zc706_zynq7']['zc706-zynq7' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/zcu102-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu102-zynqmp.conf index 52a2a194..9e5e556a 100644 --- a/meta-xilinx-bsp/conf/machine/zcu102-zynqmp.conf +++ b/meta-xilinx-bsp/conf/machine/zcu102-zynqmp.conf @@ -51,4 +51,4 @@ HDF_MACHINE = "zcu102-zynqmp" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'zcu102_zynqmp']['zcu102-zynqmp' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' zcu102_zynqmp']['zcu102-zynqmp' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/zcu104-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu104-zynqmp.conf index bf38eebe..80bd34de 100644 --- a/meta-xilinx-bsp/conf/machine/zcu104-zynqmp.conf +++ b/meta-xilinx-bsp/conf/machine/zcu104-zynqmp.conf @@ -49,9 +49,10 @@ HDF_MACHINE = "zcu104-zynqmp" # the xsa. User can enable explicitly if required from local.conf. # KERNEL_DEVICETREE = "xilinx/zynqmp-zcu104-revC.dtb" +# Yocto IMAGE_FEATURES Variable MACHINE_HWCODECS = "libomxil-xlnx" IMAGE_FEATURES += "hwcodecs" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'zcu104_zynqmp']['zcu104-zynqmp' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' zcu104_zynqmp']['zcu104-zynqmp' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/zcu106-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu106-zynqmp.conf index 0cc55654..be48e178 100644 --- a/meta-xilinx-bsp/conf/machine/zcu106-zynqmp.conf +++ b/meta-xilinx-bsp/conf/machine/zcu106-zynqmp.conf @@ -49,9 +49,10 @@ HDF_MACHINE = "zcu106-zynqmp" # the xsa. User can enable explicitly if required from local.conf. # KERNEL_DEVICETREE = "xilinx/zynqmp-zcu106-revA.dtb" +# Yocto IMAGE_FEATURES Variable MACHINE_HWCODECS = "libomxil-xlnx" IMAGE_FEATURES += "hwcodecs" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'zcu106_zynqmp']['zcu106-zynqmp' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' zcu106_zynqmp']['zcu106-zynqmp' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/zcu111-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu111-zynqmp.conf index 493b1f13..4cf028de 100644 --- a/meta-xilinx-bsp/conf/machine/zcu111-zynqmp.conf +++ b/meta-xilinx-bsp/conf/machine/zcu111-zynqmp.conf @@ -51,4 +51,4 @@ HDF_MACHINE = "zcu111-zynqmp" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'zcu111_zynqmp']['zcu111-zynqmp' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' zcu111_zynqmp']['zcu111-zynqmp' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/zcu208-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu208-zynqmp.conf index d7b2f340..be1f310c 100644 --- a/meta-xilinx-bsp/conf/machine/zcu208-zynqmp.conf +++ b/meta-xilinx-bsp/conf/machine/zcu208-zynqmp.conf @@ -47,4 +47,4 @@ HDF_MACHINE = "zcu208-zynqmp" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'zcu208_zynqmp']['zcu208-zynqmp' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' zcu208_zynqmp']['zcu208-zynqmp' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/zcu216-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu216-zynqmp.conf index 5f5856e8..cd2644af 100644 --- a/meta-xilinx-bsp/conf/machine/zcu216-zynqmp.conf +++ b/meta-xilinx-bsp/conf/machine/zcu216-zynqmp.conf @@ -47,4 +47,4 @@ HDF_MACHINE = "zcu216-zynqmp" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'zcu216_zynqmp']['zcu216-zynqmp' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' zcu216_zynqmp']['zcu216-zynqmp' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/zcu670-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu670-zynqmp.conf index 6b1dd488..48f81474 100644 --- a/meta-xilinx-bsp/conf/machine/zcu670-zynqmp.conf +++ b/meta-xilinx-bsp/conf/machine/zcu670-zynqmp.conf @@ -47,4 +47,4 @@ HDF_MACHINE = "zcu670-zynqmp" #### No additional settings should be after the Postamble #### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'zcu670_zynqmp']['zcu670-zynqmp' != '${MACHINE}']}" +PACKAGE_EXTRA_ARCHS:append = "${@['', ' zcu670_zynqmp']['zcu670-zynqmp' != '${MACHINE}']}" -- cgit v1.2.3-54-g00ecf From a04e152f4f736c39cad2b8ea4d4e83f22fad30cf Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Tue, 18 Jul 2023 16:13:44 -0600 Subject: ultra96-zynqmp: Update machine conf file using gen-machineconf tool Add PACKAGE_EXTRA_ARCHS append for ultra96-zynqmp. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-vendor/conf/machine/ultra96-zynqmp.conf | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/meta-xilinx-vendor/conf/machine/ultra96-zynqmp.conf b/meta-xilinx-vendor/conf/machine/ultra96-zynqmp.conf index 711c3ec6..57f31c93 100644 --- a/meta-xilinx-vendor/conf/machine/ultra96-zynqmp.conf +++ b/meta-xilinx-vendor/conf/machine/ultra96-zynqmp.conf @@ -71,3 +71,7 @@ MACHINE_ESSENTIAL_EXTRA_RDEPENDS += " \ linux-firmware-wl18xx \ linux-firmware-ti-bt-wl180x \ " + +#### No additional settings should be after the Postamble +#### Postamble +PACKAGE_EXTRA_ARCHS:append = "${@['', ' ultra96_zynqmp']['ultra96-zynqmp' != '${MACHINE}']}" -- cgit v1.2.3-54-g00ecf From 7a17e5f6bcda517828998333a24a6d8ceb3cb264 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Tue, 18 Jul 2023 16:13:45 -0600 Subject: machine: Deprecate zcu1275 and zcu1285 machine conf files Since zcu1275 and zcu1285 design xsa has deprecated, hence deprecate zcu1275 and zcu1285 machine conf files. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-bsp/conf/machine/zcu1275-zynqmp.conf | 50 ---------------------- meta-xilinx-bsp/conf/machine/zcu1285-zynqmp.conf | 54 ------------------------ 2 files changed, 104 deletions(-) delete mode 100644 meta-xilinx-bsp/conf/machine/zcu1275-zynqmp.conf delete mode 100644 meta-xilinx-bsp/conf/machine/zcu1285-zynqmp.conf diff --git a/meta-xilinx-bsp/conf/machine/zcu1275-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu1275-zynqmp.conf deleted file mode 100644 index 597c05a7..00000000 --- a/meta-xilinx-bsp/conf/machine/zcu1275-zynqmp.conf +++ /dev/null @@ -1,50 +0,0 @@ -#@TYPE: Machine -#@NAME: zcu1275-zynqmp -#@DESCRIPTION: Machine configuration for the ZCU1275 evaluation board. - -#### Preamble -MACHINEOVERRIDES =. "${@['', 'zcu1275-zynqmp:']['zcu1275-zynqmp' !='${MACHINE}']}" -#### Regular settings follow - -# Yocto device-tree variables -YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree ?= "psu_uart_0" -YAML_MAIN_MEMORY_CONFIG:pn-device-tree ?= "PSU_DDR_0" -YAML_DT_BOARD_FLAGS ?= "{BOARD zcu1275-revb}" - -# Yocto arm-trusted-firmware(TF-A) variables -ATF_CONSOLE_DEFAULT ?= "cadence" -TFA_BL33_LOAD ?= "0x8000000" - -# Yocto PMUFW variables -YAML_SERIAL_CONSOLE_STDIN:pn-pmu-firmware ?= "psu_uart_0" -YAML_SERIAL_CONSOLE_STDOUT:pn-pmu-firmware ?= "psu_uart_0" - -# Yocto FSBL variables -YAML_SERIAL_CONSOLE_STDIN:pn-fsbl-firmware ?= "psu_uart_0" -YAML_SERIAL_CONSOLE_STDOUT:pn-fsbl-firmware ?= "psu_uart_0" - -# Yocto KERNEL Variables -UBOOT_ENTRYPOINT ?= "0x200000" -UBOOT_LOADADDRESS ?= "0x200000" - -# zcu1275-zynqmp Serial Console -SERIAL_CONSOLES ?= "115200;ttyPS0" -YAML_SERIAL_CONSOLE_BAUDRATE ?= "115200" - -# Required generic machine inclusion -# ZCU1275 board uses ZynqMP DR device hence use soc variant based generic machine -# inclusion -require conf/machine/zynqmp-dr-generic.conf - -# This eval board machine conf file uses zcu1275-zynqmp xsa as reference input. -# User can override with zcu1275 custom xsa using HDF_BASE and HDF_PATH variables -# from local.conf. -HDF_MACHINE = "zcu1275-zynqmp" - -# KERNEL_DEVICETREE is disabled as we use board device tree from DTG to match -# the xsa. User can enable explicitly if required from local.conf. -# KERNEL_DEVICETREE = "xilinx/zynqmp-zcu1275-revB.dtb" - -#### No additional settings should be after the Postamble -#### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'zcu1275_zynqmp']['zcu1275-zynqmp' != '${MACHINE}']}" diff --git a/meta-xilinx-bsp/conf/machine/zcu1285-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu1285-zynqmp.conf deleted file mode 100644 index 396eafe9..00000000 --- a/meta-xilinx-bsp/conf/machine/zcu1285-zynqmp.conf +++ /dev/null @@ -1,54 +0,0 @@ -#@TYPE: Machine -#@NAME: zcu1285-zynqmp -#@DESCRIPTION: Machine configuration for the ZCU1285 evaluation board. - -#### Preamble -MACHINEOVERRIDES =. "${@['', 'zcu1285-zynqmp:']['zcu1285-zynqmp' !='${MACHINE}']}" -#### Regular settings follow - -# Variables that changes based on hw design or board specific requirement must be -# defined before calling the required inclusion file else pre-expansion value -# defined in zynqmp-generic.conf will be set. - -# Yocto device-tree variables -YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree ?= "psu_uart_0" -YAML_MAIN_MEMORY_CONFIG:pn-device-tree ?= "PSU_DDR_0" -YAML_DT_BOARD_FLAGS ?= "{BOARD zcu1285-reva}" - -# Yocto arm-trusted-firmware(TF-A) variables -ATF_CONSOLE_DEFAULT ?= "cadence" -TFA_BL33_LOAD ?= "0x8000000" - -# Yocto PMUFW variables -YAML_SERIAL_CONSOLE_STDIN:pn-pmu-firmware ?= "psu_uart_0" -YAML_SERIAL_CONSOLE_STDOUT:pn-pmu-firmware ?= "psu_uart_0" - -# Yocto FSBL variables -YAML_SERIAL_CONSOLE_STDIN:pn-fsbl-firmware ?= "psu_uart_0" -YAML_SERIAL_CONSOLE_STDOUT:pn-fsbl-firmware ?= "psu_uart_0" - -# Yocto KERNEL Variables -UBOOT_ENTRYPOINT ?= "0x200000" -UBOOT_LOADADDRESS ?= "0x200000" - -# zcu1285-zynqmp Serial Console -SERIAL_CONSOLES ?= "115200;ttyPS0" -YAML_SERIAL_CONSOLE_BAUDRATE ?= "115200" - -# Required generic machine inclusion -# ZCU1285 board uses ZynqMP DR device hence use soc variant based generic machine -# inclusion -require conf/machine/zynqmp-dr-generic.conf - -# This eval board machine conf file uses zcu1285-zynqmp xsa as reference input. -# User can override with zcu1285 custom xsa using HDF_BASE and HDF_PATH variables -# from local.conf. -HDF_MACHINE = "zcu1285-zynqmp" - -# KERNEL_DEVICETREE is disabled as we use board device tree from DTG to match -# the xsa. User can enable explicitly if required from local.conf. -# KERNEL_DEVICETREE = "xilinx/zynqmp-zcu1285-revA.dtb" - -#### No additional settings should be after the Postamble -#### Postamble -PACKAGE_EXTRA_ARCHS:append = "${@['', 'zcu1285_zynqmp']['zcu1285-zynqmp' != '${MACHINE}']}" -- cgit v1.2.3-54-g00ecf From 4840a39a03dd9892144e280978596f60f254e70f Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Tue, 18 Jul 2023 18:48:39 -0600 Subject: README: Remove zcu1275 and zcu1285 from docs zcu1275 and zcu1285 machine files are deprecated, hence remove from docs. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-bsp/README.md | 2 -- 1 file changed, 2 deletions(-) diff --git a/meta-xilinx-bsp/README.md b/meta-xilinx-bsp/README.md index b960c1bc..2d869913 100644 --- a/meta-xilinx-bsp/README.md +++ b/meta-xilinx-bsp/README.md @@ -33,8 +33,6 @@ The following boards are supported by the meta-xilinx-bsp layer: | | [ZCU104](https://www.xilinx.com/products/boards-and-kits/zcu104.html) | [zcu104-zynqmp](conf/machine/zcu104-zynqmp.conf) | `zcu104-zynqmp` | `zcu104-revc` | Yes | Yes | | | [ZCU106](https://www.xilinx.com/products/boards-and-kits/zcu106.html) | [zcu106-zynqmp](conf/machine/zcu106-zynqmp.conf) | `zcu106-zynqmp` | `zcu106-reva` | Yes | Yes | | | [ZCU111](https://www.xilinx.com/products/boards-and-kits/zcu111.html) | [zcu111-zynqmp](conf/machine/zcu111-zynqmp.conf) | `zcu111-zynqmp` | `zcu111-reva` | Yes | Yes | -| | [ZCU1275](https://www.xilinx.com/products/boards-and-kits/zcu1275.html) | [zcu1275-zynqmp](conf/machine/zcu1275-zynqmp.conf) | `zcu1275-zynqmp` | `zcu1275-revb` | Yes | Yes | -| | [ZCU1285](https://www.xilinx.com/products/boards-and-kits/zcu1285.html) | [zcu1285-zynqmp](conf/machine/zcu1285-zynqmp.conf) | `zcu1285-zynqmp` | `zcu1285-reva` | Yes | Yes | | | [ZCU208](https://www.xilinx.com/products/boards-and-kits/zcu208.html) | [zcu208-zynqmp](conf/machine/zcu208-zynqmp.conf) | `zcu208-zynqmp` | `zcu208-reva` | Yes | Yes | | | [ZCU216](https://www.xilinx.com/products/boards-and-kits/zcu216.html) | [zcu216-zynqmp](conf/machine/zcu216-zynqmp.conf) | `zcu216-zynqmp` | `zcu216-reva` | Yes | Yes | | | [ZCU670](https://www.xilinx.com/products/boards-and-kits/zcu670.html) | [zcu670-zynqmp](conf/machine/zcu670-zynqmp.conf) | `zcu670-zynqmp` | `zcu670-revb` | Yes | Yes | -- cgit v1.2.3-54-g00ecf From 39569406de065e6c9ff30555c8efb429149fa200 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Tue, 18 Jul 2023 16:13:46 -0600 Subject: README: Update the docs on variables Update READE docs on list of variables used in machine conf. Signed-off-by: Sandeep Gundlupet Raju Fixed a couple of typos Signed-off-by: Mark Hatle --- meta-xilinx-core/conf/machine/README | 75 +++++++++++++++++++++++++++++++++--- 1 file changed, 69 insertions(+), 6 deletions(-) diff --git a/meta-xilinx-core/conf/machine/README b/meta-xilinx-core/conf/machine/README index 5361dc81..0b018b61 100644 --- a/meta-xilinx-core/conf/machine/README +++ b/meta-xilinx-core/conf/machine/README @@ -142,20 +142,83 @@ you should not use : override values for the same reason. Note, not every machine file will have all of these variables, only the ones you need to override should be set. +Variables set before required inclusion file: +Variables that changes based on hw design or board specific requirement must be +set before required inclusion file else pre-expansion value defined in generic +machine conf will be set. This way user can also override these variables from +local.conf + System wide setting: TUNE_FEATURES:tune- - Specific tune features external-hdf recipe from meta-xilinx-tools: -HDF_MACHINE - Used by the recipe to find the correct XSA -HDF_EXT - only xsa is supported, legacy variable -HDF_BASE - protocol if not using the default external-hdf repository -HDF_PATH - path to the repository or XSA file +HDF_MACHINE - Machine to load from reference defign xsa using hdf-examples recipe +HDF_EXT - Only ".xsa" externsion is supported, legacy variable. +HDF_BASE - Download protocol (file://, git://, http:// or https://) protocol if + not using the default external-hdf repository. +HDF_PATH - Path to the repository or XSA file + +fs-boot recipe from meta-xilinx-tools: +YAML_SERIAL_CONSOLE_STDIN:pn-fs-boot - YAML based uart stdin configuration for +MicroBlaze. Example: axi_uartlite_0 or axi_uart16550_0 etc,. +YAML_SERIAL_CONSOLE_STDOUT:pn-fs-boot - YAML based uart stdout configuration for +MicroBlaze. Example: axi_uartlite_0 or axi_uart16550_0 etc,. +YAML_MAIN_MEMORY_CONFIG:pn-fs-boot - YAML based DDR4 or MIG configuration for +MicroBlaze. Example: DDR4_0 or MIG_7SERIES_0 etc,. +YAML_FLASH_MEMORY_CONFIG:pn-fs-boot - YAML based flash configuration for +MicroBlaze. Example: axi_emc_0 or axi_quad_spi_0 etc,. +XSCTH_PROC:pn-fs-boot - Processor IP used while configuring embeddedsw compoments. +Example: microblaze_0 or microblaze_1 etc,. + +fsbl-firmware recipe from meta-xilinx-tools: +YAML_SERIAL_CONSOLE_STDIN:pn-fsbl-firmware - YAML based FSBL uart stdin configuration +for Zynq-7000 and ZynqMP devices. +YAML_SERIAL_CONSOLE_STDOUT:pn-fsbl-firmware - YAML based FSBL uart stdout configuration +for Zynq-7000 and ZynqMP devices. + +pmu-firmware recipe from meta-xilinx-tools: +YAML_SERIAL_CONSOLE_STDIN:pn-pmu-firmware - YAML based PMUFW uart stdin configuration +for ZynqMP devices. +YAML_SERIAL_CONSOLE_STDOUT:pn-pmu-firmware - YAML based PMUFW uart stdout configuration +for ZynqMP devices. + +plm-firmware recipe from meta-xilinx-tools: +YAML_SERIAL_CONSOLE_STDIN:pn-plm-firmware - YAML based PLM uart stdin configuration +for Versal devices. +YAML_SERIAL_CONSOLE_STDOUT:pn-fplmsbl-firmware - YAML based PLM uart stdout +configuration for Versal devices. device-tree recipe from meta-xilinx-tools: -YAML_DT_BOARD_FLAGS - flags used for dtgen +YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree - YAML based uart console configuration +for all device families. Example: axi_uartlite_0 or psu_uart_0 etc,. +YAML_MAIN_MEMORY_CONFIG:pn-device-tree - YAML based memory configuration for all +device families. Example: DDR4_0 or PS7_DDR_0 or PSU_DDR_0 etc,. +XSCTH_PROC:pn-device-tree - Processor IP used while configuring device-tree +compoments. Example: microblaze_0 or microblaze_1 etc,. +YAML_DT_BOARD_FLAGS:pn-device-tree - YAML based configuration for setting eval +board specific dtsi files available in DTG repo. + +arm-trusted-firmware recipe from meta-xilinx-core: +ATF_CONSOLE_DEFAULT - Uart console configuration for all aarch64 device families. +Example: pl011 or cadence or cadence1 etc,. +TFA_BL33_LOAD - BL33 preloadded base address to EXTRA_OEMAKE for aarch64. u-boot-xlnx recipe from meta-xilinx-core: UBOOT_MACHINE - Name of the defconfig to use -HAS_PLATFORM_INIT - List of defconfig files available for u-boot +HAS_PLATFORM_INIT - List of defconfig files available for u-boot only for SPL boot. + +u-boot-xlnx-scr recipe from meta-xilinx-core: +DDR_BASEADDR - Base address for DDR used for loading the images from u-boot env. +SKIP_APPEND_BASEADDR - Skip appending ${DDR_BASEADDR} for image offsets. + +Varibable set after required inclusion file: +Varibables that does not intend to change must be set before required inclusion +file. + +external-hdf recipe from meta-xilinx-tools: +HDF_MACHINE - Used by the recipe to find the correct XSA +HDF_EXT - only xsa is supported, legacy variable +HDF_BASE - protocol if not using the default external-hdf repository +HDF_PATH - path to the repository or XSA file ...and more... -- cgit v1.2.3-54-g00ecf From 74774eeb79db489286d28fdf5d0c26a4d380598a Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 21 Jul 2023 13:30:58 +0530 Subject: qemu : Updated SRCREV for 2023.2_9279 pmx-efuse-ctrl: Fix the eFuse key load sodimm-spd: Add the SPD data for 4GB Micron model Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc index 8e82676c..386f41b5 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc @@ -1,3 +1,3 @@ XILINX_QEMU_VERSION = "v7.1.0" BRANCH = "master" -SRCREV = "82eb8c6b57298a9c2bf5aa875bf8633499357033" +SRCREV = "c95bcd7ddb8f9e3d4fda1582582fd5489732ad56" -- cgit v1.2.3-54-g00ecf From 79fffa55474d96668de09eb709a25a090cf07f7b Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 21 Jul 2023 13:35:25 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_6935 freertos10_xilinx: Fix FreeRTOS in SDT flow dhrystone: Update UARTNS defines in sdt flow xiplmi: Removed Error Action from Error Table while disabling error plm: versal_net: Fix In-Place Update Failures qspipsu: Fix GCC warnings uartite: Fix GCC warnings canfd: Fix GCC warnings wdtps: Fix peripheral_tests syntax in system device-tree flow wdttb: Fix code formatting issues with checkpatch wdttb: Add support for system device-tree flow for examples wdttb: Add support for system device-tree flow coresightps_dcc: Add support for Zynq and VersalNet in SDT flow driver: cframe: added SDT support sw_services: xilloader:Added check for returning glitch error scutimer: Format it using checkpatch scutimer: Add support for system device tree flow scripts: pyesw: regen_linker: Fix compilation errors on windows driver: cfupmc: added SDT support Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index fa6079d5..002f1c66 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "90843c3dbbd679da4179da21d94b5ac8930747bf" +ESW_REV[2023.2] = "59e453fa60231b5b946ce4a92e202b51f36e6a6e" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From aec79632044aa004f38101f922e243a3b4eca06e Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 21 Jul 2023 13:36:41 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_4063 arm64: zynqmp: Remove USB description from SC revB/C arm64: versal-net: add usb-wakeup interrupt in dwc3 core arm64: versal: Add no-wp DT property in OSPI flash node Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index a333a3d9..a950660c 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "3cd089ee0665f5d9da7b7e0a4598c86796e890df" +SRCREV = "ec14328c9afa2377ea1185c2e9c4706878973559" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 38df90e181add7b40693a7679bdfbbf811879e6e Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Sat, 22 Jul 2023 12:45:11 +0530 Subject: Updated Commit ID gen_yocto_machine: Clean up yocto mach conf variables gen_yocto_machine: Set softer assignment for variables gen_yocto_machine: Remove machine overrides Removing the statically defined mem configs Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index fd4083c7..4e55015f 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit fd4083c7fbe60af63cd825b958c1d4ceeadd1467 +Subproject commit 4e55015fcf4eb1d7fbf5d31e68ae3f57bdec5dc5 -- cgit v1.2.3-54-g00ecf From cc2a9e4eb0a880639c0e3c1b3ba4abf5fe16be37 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 24 Jul 2023 14:21:44 +0530 Subject: qemu : Updated SRCREV for 2023.2_1851 Revert "hw/misc/xlnx-versal-pmc-clk-rst.c: fix the PL reset polarity" Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc index 386f41b5..ffee89ca 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc @@ -1,3 +1,3 @@ XILINX_QEMU_VERSION = "v7.1.0" BRANCH = "master" -SRCREV = "c95bcd7ddb8f9e3d4fda1582582fd5489732ad56" +SRCREV = "088e687c105dbcc97f8d50b6a84e8433d633f2e4" -- cgit v1.2.3-54-g00ecf From 0e091d07e4ab746e7e34dd13cecf159352036648 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 24 Jul 2023 14:27:18 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_1987 mtd: spi-nor: Use params->size for flash size info misc: xilinx-ai-engine: Print wrap value in BD sysfs node Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index a950660c..a5699392 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "ec14328c9afa2377ea1185c2e9c4706878973559" +SRCREV = "aa9aa548cbbf1381f28ac2d8dd9dfa04067dfc95" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 6f0ac2d36e1cfbb974855e8ce3bfac40706201b0 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 24 Jul 2023 14:25:58 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_8215 lib: sw_services: xilskey: Use CMake Cache variable for conditional checks xadcps: Modify addtogroup sysmon: Modify addtogroup sysmonpsv: Modify addtogroup dp14txss:tx_only: Removed custom print xxvethernet: Update example dependency files in yaml emaclite: Update yaml file with example details axiethernet: Update example dependency files in yaml lib: sw_apps: srec_bootloader: Fix style issues lib: sw_apps: srec_bootloader: Add srec bootloader to embeddedsw repo mipiciss:Uprevved to include VEK280_REVB and RC Programming mipicsiss:Added Support for VEK280_REVB and RC programming to Support HDMI2.1 usb: usbps: fix code formatting issues with checkpatch scugic: Fix XScuGic_DeviceInterruptHandler for SDT flow sw_services: xilocp: Add access permission for XOCP_API_GEN_SHARED_SECRET sw_services: xilsecure: Calculation of shared secret sw_services: xilskey: update the xilskey library to support vitisng flow xilpm: versal: server: Add Laguna housecleaning sw_apps: versal_plm: Update the versal_plm template app to support vitisng flow. sw_services: xilnvm: Update the xilnvm library to support vitisng flow. sw_services: xilpuf: Update the xilpuf library to support vitisng flow. bsp: standalone: updated the cmake list file sw_services: xilsecure: Update the xilsecure library to support vitisng flow. sw_services: xilloader: Update the xilloader library to support vitisng flow. sw_services: xilplmi: Update the xilplmi library to support vitisng flow. sw_services: xilpdi: Update the xilpdi library to support vitisng flow. sw_apps: rsa_auth_app: update the rsa auth app to support vitisng flow. Revert "sw_apps: zynqmp_fsbl: Update the zynqmp_fsbl template app to support vitisng flow." xilffs: Update xilffs.yaml file xilloader: Measure SPK ID and Encryption Revoke ID before PCR extend FRMBUF Drivers: Corrected Frmbuf rd and wr Yaml files gpiops: Add support zynq platform in sdt flow qspips: Fix syntax issues in CMakeLists.txt scripts: pyesw: library_utils: Pull the library based on the supported_processor list scripts:pyesw:repo: Adding a check to continue scanning if a yaml reading fails scuwdt: Fix formatting issues scuwdt: Add support for system device tree based flow scuwdt: Update addtogroup from version num to overview scuwdt: Update copyright information. scuwdt: Increment the version number scripts: pyesw: open-amp: Update apps to use single unified SDT location scripts: pyesw: build_app: openamp: pass app name for apps scripts: pyesw: open-amp: update library name for open-amp to openamp qspips: Fix code formatting issues with checkpatch qspips: Add support for system device-tree flow for example qspips: Update the driver to support for system device-tree flow dp21txss: Fix Macro definations for 20Gbps and 13.5Gbps dp21: Fix Macro definations for 20Gbps and 13.5Gbps sysmonpsu: Add SDT support csudma: Fix additional line in yaml file xilocp: clear DICE CDI SEED freertos10_xilinx: sync xparameters.h with standalone BSP TPG: Ported driver for decoupling flow. TPG: Added yaml file for decoupling flow support TPG: incremeted driver version VTC: Ported driver for decoupling flow. VTC: Added yaml file for decoupling flow support VTC: IP version is incremented FrmBuf_Wr: Ported driver for decoupling flow. FrmBuf_Wr: Added yaml file for decoupling flow support FrmBuf_Rd: Ported driver for decoupling flow. FrmBuf_Rd: Added yaml file for decoupling flow support sw_apps: zynq_fsbl: update the zynq_fsbl template app to support vitisng flow. dfeofdm: Add SDT examples dfemix: Add SDT examples sw_apps: zynqmp_fsbl: Update the zynqmp_fsbl template app to support vitisng flow. dfeequ: Add SDT examples xilpm:versal_net: save and restore subsystem flags and ipi masks dfeccf: Add SDT examples BSP: riscv: Fix style issues BSP:riscv: Add missing CSR definitions BSP: riscv: Add checks in exception init lib: sw_apps: openamp: sdt: Move common files to single directory lib: sw_apps: openamp: sdt: Update YAML to use openamp instead of open-amp ThirdParty: sw_services: OpenAMP: sdt: Move YAML to be consistent with directory structure ThirdParty: sw_services: OpenAMP: sdt: Add descriptions for Libmetal and OpenAMP mcdma: Fix code formatting using checkpatch tool mcdma: Add support for SDT flow in examples mcdma: Fix LookUpConfig in SDT flow BSP: Do not define ARMv8 specific macros for other processors qspipsu: Update dependency_files inorder to pull dependency files automatically emacps: Update dependency_files inorder to pull dependency files automatically bram: Fix formatting issues bram: Add system-device-tree support for example bram: Update the driver for system device tree flow bram: Update the driver version scripts: pyesw: library_utils: Update dependency_files if the supported platforms are not present Revert "xiplmi: Removed Error Action from Error Table while disabling error" sw_services:xilsecure:Parameter name change in xilsecure mld xilpm: versal_common: server: Change IPI Access permissions for PM API xilpm : cfg: Add Check for master if defined before the returning a macro string vphy: Added support for clock primitive vphy: Added support for clock primitive v_hdmiphy1: Added clock primitive v_hdmiphy1: Added clock primitive v_hdmitxss1: Fixed the define for VEK280 v_hdmirxss1: Fixed the define for VEK280 dp14txss:zcu_pt_dp14_hdcp:Added support to the hdcp app to work with any combination of hdcp1.3/2.2 on rx and tx xilpm: versal_common: Bug fix for XPmPinFunc_GetFuncName Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 002f1c66..31670d9e 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "59e453fa60231b5b946ce4a92e202b51f36e6a6e" +ESW_REV[2023.2] = "914cae1dc327bd1356a28222f561f585ab1210f5" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From dde495bffdfb00c9c58fa53e43dae53d252211e7 Mon Sep 17 00:00:00 2001 From: saumya garg Date: Thu, 20 Jul 2023 11:46:59 +0530 Subject: xrt, zocl: Update commit id Changelog: AIE trace/profile improvements for 2023.2 (#7633) VITIS-6327 xbutil valdiate changes to support ps kernel testing (#7624) VITIS-8548-XRT support to improve AIE status reporting (#7619) Amend #7572 to validate ERT_START_DPU opcode (#7628) VITIS-8980 Improve sensor reports (#7625) Fix windows VS22 compilation warnings (#7627) add support for mem_banks for --add-pskernel (#7606) Fix ptree having multiple roots (#7623) VITIS-8980 Improve output of electrical and thermal reports (#7620) Update xclbin for inclusion by kernel mode windows driver (#7622) Signed-off-by: saumya garg Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index eda20f1d..39241500 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -3,6 +3,6 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG}" BRANCH= "master" -SRCREV= "ba5d5fffa4bc5e9d7a1f478998cf730360125a60" +SRCREV= "ce6ee4a7282a0bc0fabf93cf5ddffb51cad3a06d" PV = "202320.2.16.0" -- cgit v1.2.3-54-g00ecf From bc916b12d0d0bd9fe1e029baaef5cb80e30c7c71 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 25 Jul 2023 12:51:34 +0530 Subject: aie-rt : Updated SRCREV for 2023.2_5135 driver: src: io_backend: Clear bitmap Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 0270871e..9c168a56 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "93a14c7b622accb792f7b584aa71f401404cb178" +SRCREV ?= "c2a7a8f1bf4cdf3318621414362fe3d5a475c46c" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From 5c1a8a675c605e4c395933b06edfb435c67208d6 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 25 Jul 2023 18:33:08 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_7347 driver:trngpsx:Update version number of the driver driver:trngpsv:Update version number of the driver sw_services:Update version number of crypto alg for versal_net sw_services:Update version number of crypto alg for versal sw_services:Update version number of crypto alg for zynqmp sw_services:Updated version number of secure libraries Video Drivers: Adding Overview to addtogroup for all video drivers lib: sw_apps: Fix lwip app compilation for MB in non SDT flow xiplmi: Remove Error Action while disabling error xilplmi: Typecast IPI Access macros properly sw_apps: zynqmp_fsbl: added SDT support sw_services:xilplmi:Added NULL check for Tamper Task Create sw_services:xilplmi: Add NULL check in SsitCreateTask sw_services:xilplmi:Updated error codes for process elf Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 31670d9e..dbd8faee 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "914cae1dc327bd1356a28222f561f585ab1210f5" +ESW_REV[2023.2] = "a3bccd2f53f074b9cb510666be258f8531e4a1fa" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From bc6b4622cccbc5c158fdbc83c30da602b4caa458 Mon Sep 17 00:00:00 2001 From: Tejas Bhumkar Date: Fri, 21 Jul 2023 23:20:24 +0530 Subject: Add a check for uboot-device-tree dtb Added below changes: 1)Updated operator in if condition from `==` to `=` as it is used for string comparison in both Bash and Dash, making the script compatible with both shells. 2)Checking for uboot-device-tree.dtb file in RECIPE_SYSROOT path before applying fdtoverlay. Signed-off-by: Tejas Bhumkar Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc index baefe293..bbf4125f 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-blob.inc @@ -100,7 +100,7 @@ do_blob_generate () { DTBO=$(echo $CC_DTB | cut -d: -f1) BASE_DTB=$(echo $CC_DTB | cut -d: -f2) OUTPUT_DTB=$(echo $CC_DTB | cut -d: -f3) - if [ "${SYSTEM_DTB_BLOB}" == "1" ]; then + if [ "${SYSTEM_DTB_BLOB}" = "1" ]; then BASE_SYS_DTB="${RECIPE_SYSROOT}/${DTB_PATH}/${DTB_FILE_NAME}" else BASE_SYS_DTB="${B}/arch/arm/dts/${BASE_DTB}" @@ -115,8 +115,8 @@ do_blob_generate () { DTBO=$(echo $CC_DTB | cut -d: -f1) OUTPUT_DTB=$(echo $CC_DTB | cut -d: -f3) BASE_SYS_DTB="${RECIPE_SYSROOT}/${DTB_PATH}/${PACKAGE_UBOOT_DTB_NAME}" + if [ -f ${B}/arch/arm/dts/${DTBO} ] && [ -f ${BASE_SYS_DTB} ]; then bbnote "fdtoverlay -o ${DT_BLOB_DIR}/${OUTPUT_DTB} -i ${BASE_SYS_DTB} ${B}/arch/arm/dts/${DTBO}" - if [ -f ${B}/arch/arm/dts/${DTBO} ]; then fdtoverlay -o ${DT_BLOB_DIR}/${OUTPUT_DTB} -i ${BASE_SYS_DTB} ${B}/arch/arm/dts/${DTBO} fi done -- cgit v1.2.3-54-g00ecf From 95f5ef2b52d5bde503fdddc172daff3ff1d39ab0 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Fri, 21 Jul 2023 21:54:26 -0600 Subject: u-boot-xlnx-scr: Add PRE_BOOTENV variable for boot cmd files Based on github Pull Request #34. While that pull request is no longer valid with other changes, the actual bug still exists. Originally reported by Felix S(ubfx). Signed-off-by: Sandeep Gundlupet Raju --- .../recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.qspi.versal | 2 ++ meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.sd.versal | 2 ++ meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.sd.zynq | 2 ++ meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.sd.zynqmp | 2 ++ 4 files changed, 8 insertions(+) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.qspi.versal b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.qspi.versal index d56b7c8c..b9e2f3ec 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.qspi.versal +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.qspi.versal @@ -1 +1,3 @@ +@@PRE_BOOTENV@@ + @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ @@RAMDISK_IMAGE_ADDRESS@@ @@DEVICETREE_ADDRESS@@ diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.sd.versal b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.sd.versal index 8eff483a..d726187a 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.sd.versal +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.sd.versal @@ -1,3 +1,5 @@ +@@PRE_BOOTENV@@ + setenv sdbootdev @@SDBOOTDEV@@ setenv bootargs $bootargs root=/dev/mmcblk${sdbootdev}p2 rw rootwait earlycon clk_ignore_unused fatload mmc $sdbootdev @@DEVICETREE_ADDRESS@@ @@DEVICE_TREE_NAME@@ diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.sd.zynq b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.sd.zynq index bbd2e01e..abc5ae00 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.sd.zynq +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.sd.zynq @@ -1,3 +1,5 @@ +@@PRE_BOOTENV@@ + if test -n "@@BITSTREAM@@"; then fatload mmc $sdbootdev @@BITSTREAM_LOAD_ADDRESS@@ @@BITSTREAM_IMAGE@@ && fpga @@BITSTREAM_LOAD_TYPE@@ 0 @@BITSTREAM_LOAD_ADDRESS@@ ${filesize} fi diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.sd.zynqmp b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.sd.zynqmp index b234a8df..bddab5d0 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.sd.zynqmp +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.sd.zynqmp @@ -1,3 +1,5 @@ +@@PRE_BOOTENV@@ + setenv sdbootdev @@SDBOOTDEV@@ setenv bootargs $bootargs root=/dev/mmcblk${sdbootdev}p2 rw rootwait earlycon clk_ignore_unused if test -n "@@BITSTREAM@@"; then -- cgit v1.2.3-54-g00ecf From 4cc709c2085a8920fada79842bd37ff75b9fdc08 Mon Sep 17 00:00:00 2001 From: Appana Durga Kedareswara rao Date: Mon, 24 Jul 2023 13:03:56 +0530 Subject: lopper: Update srcrev for 2023 Below are the updates lopper: assists: Generate BOARD define inline with existing code lops: lop-microblaze.dts: Add check for model property lopper: assists: baremetalconfig_xlnx: Add support for variable size structs lopper: assists: baremetallinker_xlnx: Add support for ps7_ram lops:lop-microblaze: Fix generated library path lopper: assists: Generate board information in the cmake meta-data lopper: assists: baremetal_gentestapp_xlnx: check for all compatible strings in a node lopper: assists: baremetal_getsupported_comp_xlnx: Use VerboseSafeDumper to remove the yaml anchor lopper: assists: baremetalconfig_xlnx: Make sure node order is preserved assists/baremetal/linker : Adding new memory nodes to the linker metadata to support versal net lops/baremetal: Adding new lops file for supporting Versal Net imux use cases lopper: assists: baremetal_xparameters_xlnx: Generate XPAR_MICROBLAZE_ADDR_SIZE define if xlnx,addr-size property is present lopper: assists: baremetal_xparameters_xlnx: Cleanup the try execpt blocks lopper: assists: bmcmake_metadata_xlnx: Fix the lwip topology index lopper: assists: bmcmake_metadata_xlnx: Fix race condition in the assist lopper: assists: baremetal_xparameters_xlnx: Handle string property values lopper: assists: bmcmake_metadata_xlnx: remove duplicate node references lopper: assists: baremetallinker_xlnx: Add missing tcm memory section for versal a72 and r5 processors lopper: assists: baremetal_gentestapp_xlnx: Fix race condition in the self test app declartion lopper: assists: bmcmake_metadata_xlnx: Check for all compatible strings in the node lopper: assists: baremetalconfig_xlnx: Handle string property values assists/baremetal: Add handling for non homogeneous driver device trees lopper: assists: baremetal_gentestapp_xlnx: Don't generate meta-data for the non mapped drivers openamp: xlnx: Enable module with host/remote role openamp: Enable assist to be invoked as module lopper: assists: baremetal_xparameters_xlnx: Generate PSS_REF_CLK define for all the processors isospec: handle subdomains of default subystem lopper: assists: Generate device_id and slrcount information in the cmake meta-data isospec: format memory ranges in hex isospec: handle multiple memory ranges lops: Add lops file to generate microblaze compiler flags lopper: assists: Add support for mig7 lopper: assists: Special handling for ps7 ddr controller lopper: assists: baremetalconfig_xlnx: Fix race condition in the cmake meta file name bmconfig: Change in the logic to get the _g.c name for the drivers lopper: assists: baremetaldrvlist_xlnx: Generate both xlnx,ip-name and xlnx,name variable meta-data in the ip_drv_map.yaml file lopper: assists: baremetallinker_xlnx: Add default memory section for cortexr5 processor lopper: lops: lop-domain-linux-a53-prune: prune symbol node lopper: assists: Update the assist as per latest repo yaml changes lopper: assists: bmcmake_metadata_xlnx: Handle versioned folders openamp: xlnx: Update compatible string checks lopper: merge inputs with domains to main device tree lopper: assists: baremetal_xparameters_xlnx: Generate the XPAR_MICROBLAZE_DDR_RESERVE_SA define lopper: assists: baremetalconfig_xlnx: Special handling for Handler-table property assists/domain_access: allow domain_access to function as a module subsystem: make processing more robust yaml: fix output to not be list based yaml: allow files to be system device tree files assists/isospec: update to json format v2 assists/isospec: introduce reference domains.yaml generation tree: add compatible string and address node searching yaml: override default anytree iterator generator tree: add children() method yaml: tweak output style lopper: handle json encoded strings in DTC lopper/tree: create address() lookup on a node lopper: allow input files to be stored for future use lopper: allow .json files to be passed as tree inputs lopper: assists: Handle drivers which are part of sdt folder lopper: assists: baremetaldrvlist_xlnx: Update the ip_dict to use xlnx,name property instead of xlnx,ip-name lopper: assists: bmcmake_metadata_xlnx: Update the assist to handle versioned libraries build(deps): bump flask from 1.1.2 to 2.2.5 README: Fix loppper spell check lopper: assists: bmcmake_metadata_xlnx: Generate example list yaml lopper: assists: baremetal*: update the driver name variable to handle versioned drivers lopper: assists: baremetalconfig_xlnx: Add support for subnode generation lopper: assists: baremetal_xparameters_xlnx: Add support for additionalProperties schema Signed-off-by: Appana Durga Kedareswara rao --- .../virtualization-layer/recipes-kernel/lopper/lopper_git.bbappend | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/dynamic-layers/virtualization-layer/recipes-kernel/lopper/lopper_git.bbappend b/meta-xilinx-core/dynamic-layers/virtualization-layer/recipes-kernel/lopper/lopper_git.bbappend index 0440b1bc..56cb8a45 100644 --- a/meta-xilinx-core/dynamic-layers/virtualization-layer/recipes-kernel/lopper/lopper_git.bbappend +++ b/meta-xilinx-core/dynamic-layers/virtualization-layer/recipes-kernel/lopper/lopper_git.bbappend @@ -1,5 +1,5 @@ SRC_URI = "git://github.com/devicetree-org/lopper.git;branch=master;protocol=https" -SRCREV = "395f00d44ee259d5db6fd6165c6cd18c8fe40e2f" +SRCREV = "807435ae6fa0a07e8c84b458d138f3f54614eb5c" FILESEXTRAPATHS:prepend := "${THISDIR}/lopper:" -- cgit v1.2.3-54-g00ecf From fecd330e1ebed063ad4891922cd58fbf16fc4d07 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 26 Jul 2023 12:50:56 +0530 Subject: aie-rt : Updated SRCREV for 2023.2_1671 fal: src: Fix bug in getEvent logic Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 9c168a56..92fbd58b 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "c2a7a8f1bf4cdf3318621414362fe3d5a475c46c" +SRCREV ?= "95905f1df1a2a450b98e8dfcb0b7bcef028f2a49" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From 3b33b292267218495e120fc083abb4352253fec7 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 26 Jul 2023 14:24:25 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_7639 lib: xiltimer: Adding default value for XSLEEPTIMER_FREQ for Zynq APU Revert "sw_apps: zynqmp_fsbl: added SDT support" Revert "xiplmi: Remove Error Action while disabling error" Xilfpga: Avoid compiler optimization for function pointer validation check lib: sw_apps: libmetal demo: sdt: Add size for heap emaclite: Fix doxygen documentation lib: sw_apps: openamp: sdt: matrix multiply: Specify correct demo as output Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index dbd8faee..92cd3f71 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "master-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "a3bccd2f53f074b9cb510666be258f8531e4a1fa" +ESW_REV[2023.2] = "6e0e7050bcc4ddeb78b79677147c69960e8bbe85" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 2224a9d7324c4de88cfde8cbef6d6cc9532023d3 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 27 Jul 2023 13:34:46 +0530 Subject: aie-rt : Updated SRCREV for 2023.2_9355 driver:src: Added a macro to avoid compiler error. Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 92fbd58b..996757d2 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "95905f1df1a2a450b98e8dfcb0b7bcef028f2a49" +SRCREV ?= "878ca9ca1c7f6e72b31ea44673966acac5a4dfa6" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From 996b89069cd5a4deb39edcfea9a6900266923f8e Mon Sep 17 00:00:00 2001 From: Rajesh Gugulothu Date: Wed, 26 Jul 2023 22:32:26 +0530 Subject: kernel-module-hdmi: Update the SRCREV for hdmi-modules Changelog: dt-bindings: hdmi-tx-ss: Fix the phy instance names dt-bindings: hdmi-rx-ss: Fix the phy instance names dt-bindings: vphy: Update phy lane nodes as per the IP phy: Fix max lanes supported by video phy in HDMI 2.O mode Revert "Create 0001-hdmitx-Fixed-hdmi-compilation-with-kernel-6.1.patch" Create 0001-hdmitx-Fixed-hdmi-compilation-with-kernel-6.1.patc Signed-off-by: Rajesh Gugulothu Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.2.bb b/meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.2.bb index 6e00b6fd..eba5d465 100644 --- a/meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.2.bb @@ -11,7 +11,7 @@ S = "${WORKDIR}/git" BRANCH ?= "master" REPO ?= "git://github.com/Xilinx/hdmi-modules.git;protocol=https" -SRCREV = "1c6330f02fea68992e22400fdbc8c0d0e63e2958" +SRCREV = "82209b0021a7b5d7ef71a859eed4bafeb541ed08" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" -- cgit v1.2.3-54-g00ecf From 394371f92fd84f1397b80493ece0ccaf16b410b4 Mon Sep 17 00:00:00 2001 From: saumya garg Date: Wed, 26 Jul 2023 14:30:40 +0530 Subject: xrt, zocl: Update commit id Changelog: CR-1169187 Disable all PS tests (#7639) Deprecate sk_types.h and move pscontext class to a separate file pscontext.h (#7632) CR-1167063 Fix hwqueue_handle::wait_command() API comment (#7609) Add utility functions for ert packet (#7637) Modifying the host reads and writes to global memory tables to only print out average bandwidth utilization if device information is ava Updating petalinux to 2023.2 version (#7635) Signed-off-by: saumya garg Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 39241500..28a6e5a8 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -3,6 +3,6 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG}" BRANCH= "master" -SRCREV= "ce6ee4a7282a0bc0fabf93cf5ddffb51cad3a06d" +SRCREV= "c2c9573ed5ca6947c8c665c8bc4aaef9f727e72d" PV = "202320.2.16.0" -- cgit v1.2.3-54-g00ecf From 1420cb6048bcdd75ede3558e68483b1ed7987cc0 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Wed, 26 Jul 2023 15:27:44 -0500 Subject: meta-xilinx-standalone: embeddedsw: Move to in-progress release branch Signed-off-by: Mark Hatle --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 92cd3f71..89d9cf09 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -4,11 +4,11 @@ ESW_VER ?= "${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or 'master' REPO ??= "git://github.com/Xilinx/embeddedsw.git;protocol=https" ESW_BRANCH[2023.1] = "xlnx_rel_v2023.1" -ESW_BRANCH[2023.2] = "master-next" +ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "6e0e7050bcc4ddeb78b79677147c69960e8bbe85" +ESW_REV[2023.2] = "a3bccd2f53f074b9cb510666be258f8531e4a1fa" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" @@ -16,7 +16,7 @@ EMBEDDEDSW_SRCURI ?= "${REPO};${EMBEDDEDSW_BRANCHARG}" LICENSE = "MIT" LIC_FILES_CHKSUM[xlnx_rel_v2023.1] = '3c310a3ee2197a4c92c6a0e2937c207c' -LIC_FILES_CHKSUM[master-next] = '3c310a3ee2197a4c92c6a0e2937c207c' +LIC_FILES_CHKSUM[xlnx_rel_v2023.2-next] = '3c310a3ee2197a4c92c6a0e2937c207c' LIC_FILES_CHKSUM ??= "file://license.txt;md5=${@d.getVarFlag('LIC_FILES_CHKSUM', d.getVar('BRANCH')) or '0'}" SRC_URI = "${EMBEDDEDSW_SRCURI}" -- cgit v1.2.3-54-g00ecf From c85bd8c0a46dde66904bdd5274fc0e735221d5f7 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 28 Jul 2023 16:17:44 +0530 Subject: aie-rt : Updated SRCREV for 2023.2_8403 driver: src: Added support to check the tile DM overflow. Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 996757d2..97d3290f 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "878ca9ca1c7f6e72b31ea44673966acac5a4dfa6" +SRCREV ?= "abe6fabc2943c4d6f9fa68b8518795c868713cae" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From 8aa2f80eaa9ff17548d1ed8c3cea5759c817ba17 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 28 Jul 2023 16:17:21 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_5299 lib: xiltimer: Adding default value for XSLEEPTIMER_FREQ for Zynq APU Revert "sw_apps: zynqmp_fsbl: added SDT support" Revert "xiplmi: Remove Error Action while disabling error" Xilfpga: Avoid compiler optimization for function pointer validation check lib: sw_apps: libmetal demo: sdt: Add size for heap emaclite: Fix doxygen documentation lib: sw_apps: openamp: sdt: matrix multiply: Specify correct demo as output Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 89d9cf09..c7d9555a 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "a3bccd2f53f074b9cb510666be258f8531e4a1fa" +ESW_REV[2023.2] = "6e0e7050bcc4ddeb78b79677147c69960e8bbe85" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From e1bcdb6e8871c346ae5b60e3a2fb921925852a09 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Sun, 30 Jul 2023 12:50:02 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_7283 rfdc: Remove Dead Code sysmon: Add SDT Support Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index c7d9555a..f9aca205 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "6e0e7050bcc4ddeb78b79677147c69960e8bbe85" +ESW_REV[2023.2] = "49600aaa2b6c6aa14f5b7d8924f7a1257ded6cf6" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 3a94f29d7b56abc56c5837cb75e094d12cd7e1f8 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Mon, 31 Jul 2023 12:46:05 +0530 Subject: qemu : Updated SRCREV for 2023.2_9627 xlnx-versal-aes: Fix 128 bit key loads Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc index ffee89ca..15201959 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc @@ -1,3 +1,3 @@ XILINX_QEMU_VERSION = "v7.1.0" BRANCH = "master" -SRCREV = "088e687c105dbcc97f8d50b6a84e8433d633f2e4" +SRCREV = "5b17802c28879d2150df5ea16d8719aab3ee26a0" -- cgit v1.2.3-54-g00ecf From 76acc49c42cfaf0dda6f33744598f573275ba5d6 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Mon, 31 Jul 2023 17:01:18 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_7299 xilpm: versal: server: SRST support for xcvp1902 xilpm: versal: server: SRST support for xcvp1902 lib: sw_services: xilpm: Auto generate device specific macro for xcvp1902 sw_services:xilsecure:Updated PCIE IDE KAT macro names sw_services:xilloader:Added example for LoadPDI from IS freertos10_xilinx: ARM_CR5: Invoke XTimer_ReleaseTickTimer to release tick timer lib: sw_services: xiltimer: Add xiltimer release support ttcps: Invoke XTtcPs_Release to release ttc node ttcps: Add support for ttc release node gpio: Use proper base address macro in xgpio_low_level_example for non-sdt flow ipipsu: Fix MISRA-C violations cmake: UserConfig.cmake: Remove the quotes around variable initialization dp21rxss: Enable HDCP2X Timer handler calling function only when HDCP2X is enabled. dp21rxss: dp21rxss: Added AMD copyright under the Xilinx copyright for the 2023.1 modified files dp21rxss: Added AMD copyright. sw_services: xilmailbox: Fix code formatting issues with checkpatch sw_services: xilmailbox: Restructure the code for more modularity xadcps: Add SDT Support cmake: toolchainfiles: microblaze_toolchain.cmake: Add no-relax linker flags by default sw_services:xilplmi: Add XilStl SW triggered error events BSP:standalone: Add XilStl errors to SW errors list xilpm: versal_net: increase byte buffer size xilpm: versal_net: power down core only if it powered up versal_psmfw: enable IEN during pwr down rfdc: Remove duplicate from yaml lib: sw_apps: Update the hello_world and empty_application to support all c++ file extensions drivers: tmr_inject: Fix compilation issue qspipsu: Fix code format issue qspipsu: Fix wrong init sequence dmaps: Remove arm,primecell compatible string qspips: Generate BUS_WIDTH define in xparameters.h in sdt flow Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index f9aca205..65af85a7 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "49600aaa2b6c6aa14f5b7d8924f7a1257ded6cf6" +ESW_REV[2023.2] = "1bcb421cce4011d8bd6a06bbc6834e7207762713" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From e9f31d49b10c066ba225815cc9e4be2fa804b543 Mon Sep 17 00:00:00 2001 From: John Toomey Date: Fri, 21 Jul 2023 16:40:21 +0100 Subject: libmcdi: Add new recipe Build and install the mcdi library components independently from DPDK and remmove these components from the original dpdk recipe. Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-dpdk/recipes-extended/dpdk/dpdk_22.11.0.bb | 5 ++-- meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb | 33 ++++++++++++++++++++++++ 2 files changed, 35 insertions(+), 3 deletions(-) create mode 100644 meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk_22.11.0.bb b/meta-dpdk/recipes-extended/dpdk/dpdk_22.11.0.bb index a79b0511..48034085 100644 --- a/meta-dpdk/recipes-extended/dpdk/dpdk_22.11.0.bb +++ b/meta-dpdk/recipes-extended/dpdk/dpdk_22.11.0.bb @@ -1,7 +1,6 @@ include dpdk.inc -SRC_URI = "git://github.com/Xilinx-CNS/cns-dpdk-next-sfc;branch=${BRANCH};protocol=https \ -" +SRC_URI = "git://github.com/Xilinx-CNS/cns-dpdk-next-sfc.git;branch=${BRANCH};protocol=https" BRANCH = "cdx_22.11" SRCREV = "e0cfb566341221dd08a5a0d7fdefce5432b41735" @@ -10,7 +9,7 @@ S = "${WORKDIR}/git" # kernel module is provide by dpdk-module recipe, so disable here EXTRA_OEMESON = " \ -Denable_kmods=false \ - -Dexamples=cdma_demo,cdx_test,mcdi/mcdi_test,mcdi/mcdi_init \ + -Dexamples=cdma_demo,cdx_test \ " COMPATIBLE_MACHINE = "null" diff --git a/meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb b/meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb new file mode 100644 index 00000000..a154664f --- /dev/null +++ b/meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb @@ -0,0 +1,33 @@ +DESCRIPTION = "Management Controller Driver Interface library" + +LICENSE = "MIT" +LIC_FILES_CHKSUM = "file://COPYING.MIT;md5=838c366f69b72c5df05c96dff79b35f2" + +SRC_URI = "git://github.com/Xilinx-CNS/mcdi-lib.git;branch=${BRANCH};protocol=https" +BRANCH = "master" +SRCREV = "00e8422cbfc62c90b3a925b734b6c0caa2481540" + +S = "${WORKDIR}/git" + +COMPATIBLE_MACHINE = "^$" +COMPATIBLE_MACHINE:versal-net = "${MACHINE}" + +INSTALL_PATH = "${prefix}/share/${PN}/examples" + +TARGET_CC_ARCH += "${LDFLAGS}" + +do_compile() { + oe_runmake all CC="${CC}" CROSS_COMPILE="${TARGET_PREFIX}" +} + +do_install() { + install -d ${D}/${libdir}/ + install -m 0644 ${B}/lib/libmcdi.so.${PV} ${D}/${libdir}/ + ln -sf ${PN}.so ${D}/${libdir}/${PN}.so.${PV} + + install -d ${D}/${INSTALL_PATH} + install -m 0755 ${B}/example/mcdi_example_app ${D}/${INSTALL_PATH} + install -m 0755 ${B}/init/init_app ${D}/${INSTALL_PATH} +} + +FILES:${PN} = "${INSTALL_PATH}/* ${libdir}/*" -- cgit v1.2.3-54-g00ecf From c987893c08206de529d442132171700eae2c7db2 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Tue, 1 Aug 2023 12:52:41 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_7999 drivers: iio: adc: xadc: Correct Write edge in CFG mtd: spi-nor: Avoid writing EAR register for flashes less than 16MB mtd: spi-nor: Use nor->info->id[0] for manufacturer id arm64: xilinx: Do not use _ in DT node names arm64: zynqmp: Use s/gtr_sel/gtr-sel/ for DT node name arm64: zynqmp: Use s/heartbeat_led/heartbeat-led/ for DT node name arm64: xilinx: Replace _ from clock node names by - arm64: zynqmp: Rename xlnx,mio_bank to xlnx,mio-bank for DLC21 arm64: versal-net: Remove xlnx,device_id property from VNX arm64: versal-net: Remove ref_clk node from VNX board staging: xilinx-tsn: Remove duplicated linux/clk.h Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index a5699392..5f0766cf 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "aa9aa548cbbf1381f28ac2d8dd9dfa04067dfc95" +SRCREV = "c759d488e0395bfb603ea4c3f6700f5bf7ee6325" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 70cd71c1acfe8e13543005ee428dd6d45057ae01 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Tue, 1 Aug 2023 14:19:43 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_4711 drivers: tmr_inject: Fix example compilation issue v_hdmitxss1: Fix code indentation of XV_HdmiTxSs1_ReadEdid function v_hdmitxss1: Add support for reading 4 block EDID rfdc: Add NCO frequency paramater rfdc: Add properties to yaml sw_services:xilloader:Set status variables as Volatile sw_services:xilplmi:Added temporal check for Sld Notification sw_services:xilplmi:Added redundant check for PlmUpdate sw_services:xilplmi:Added redundant write in plmupdate sw_services:xilplmi:Added redundant call for Tamper Detect sw_services:xilplmi:Added redundancy for SlrType Check sw_services: xilsecure: Check StatusTmp instead of Status sw_services:xilocp:Add redundancy for key generation APIs sw_services:xilocp: Remove clearing personalization string dfeofdm: Output delay in ccid slots Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 65af85a7..3a9e9141 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "1bcb421cce4011d8bd6a06bbc6834e7207762713" +ESW_REV[2023.2] = "1f847c1f77e107fe1c4ddf25c954f4f4a9207362" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 340748120e0cc877154a08d077d14fbd0fcd2395 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Wed, 2 Aug 2023 12:45:11 +0530 Subject: Updated Commit ID hw-description.tcl: add proper check for axi_emc Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 4e55015f..13cfb074 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 4e55015fcf4eb1d7fbf5d31e68ae3f57bdec5dc5 +Subproject commit 13cfb07441c9f84217267e53a439f412b6fcfa1d -- cgit v1.2.3-54-g00ecf From 504327c4434edbba831b00af1ec2bd9b96dc726b Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Thu, 3 Aug 2023 12:50:53 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_4127 sw_apps: zynqmp_fsbl: added SDT support dfeofdm: Antenna interleave delay reorder ipipsu: Update the target count sw_services:xilsecure:Fix HMAC security review comments scripts: linker_files: Add bootdata section to R5 linker scripts xilpm: versal_net: server: Fix incorrect PSM RAM size xilpm: versal: server: Fix incorrect PSM RAM size Export each of the memory_order enumerators Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 3a9e9141..871ea843 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "1f847c1f77e107fe1c4ddf25c954f4f4a9207362" +ESW_REV[2023.2] = "45caafc34f34bd84b057cb51ae215a16fe9b88cd" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 7ddedb6070fa5fc5133402723c99b53096ea83a6 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Mon, 31 Jul 2023 21:23:10 -0600 Subject: xilinx-standalone: Fix invalid-packageconfig QA Issue libxil warnings are obversed in multiconfig builds. WARNING: mc:cortexa53-0-zynqmp-fsbl-baremetal:libxil-2023_sdt_experimental+gitAUTOINC+742a608800-r0 do_configure: QA Issue: libxil: invalid PACKAGECONFIG: rtc [invalid-packageconfig] WARNING: mc:cortexa53-0-zynqmp-fsbl-baremetal:libxil-2023_sdt_experimental+gitAUTOINC+742a608800-r0 do_configure: QA Issue: libxil: invalid PACKAGECONFIG: qemu-usermode [invalid-packageconfig] This is due to rtc and qemu-usermode was enabled for all targets in MACHINE_FEATURES_BACKFILL, Hence disable rtc and qemu-usermode for multiconfig targets by adding to MACHINE_FEATURES_BACKFILL_CONSIDERED list in inclusion file. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-standalone/conf/distro/xilinx-standalone.inc | 2 ++ 1 file changed, 2 insertions(+) diff --git a/meta-xilinx-standalone/conf/distro/xilinx-standalone.inc b/meta-xilinx-standalone/conf/distro/xilinx-standalone.inc index f86a5971..814cb9cd 100644 --- a/meta-xilinx-standalone/conf/distro/xilinx-standalone.inc +++ b/meta-xilinx-standalone/conf/distro/xilinx-standalone.inc @@ -45,3 +45,5 @@ INHERIT += "uninative" BB_SIGNATURE_HANDLER ?= "OEEquivHash" BB_HASHSERVE ??= "auto" + +MACHINE_FEATURES_BACKFILL_CONSIDERED += "rtc qemu-usermode" -- cgit v1.2.3-54-g00ecf From 9cf0d717a112aed173961cdc2ba4ca0dcdafd6cc Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Fri, 4 Aug 2023 12:51:20 +0530 Subject: aie-rt : Updated SRCREV for 2023.2_6899 driver: src: Add missing header file dependency driver: src: Added Support for Status Dump driver: src: io_backend: Set bitmap for partitionInitialize Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 97d3290f..4259a394 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "abe6fabc2943c4d6f9fa68b8518795c868713cae" +SRCREV ?= "1a19de049e4050d3f2d7f8a7a1004ba8deb63d28" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From 36623c0153f39211180677f0ba72025494f34ede Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Fri, 4 Aug 2023 17:52:45 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_2419 xilpm: versal_common: server: Fixed PLM compilation warning esw: Add support for stack and heap configuration in sdt flow axidma: Fix base address in examples for SDT flow vphy: added Dir for clock primitive v_hdmitxss1: added compliance related changes v_hdmirxss1: added compliance related changes v_hdmiphy1: added Dir for clock primitive VersalNet: Trng: Fix compilation warning Revert "sw_apps: zynq_fsbl: fixed addresses issue in SDT flow" sysmonpsv: Add support for SDT sw_services:xilsecure:Initialize KeySizeInWords to avoid invalid value incase of glitch sw_services:xilsecure:Rename XSecure_AesDpaCmDecryptKat to XSecure_AesDpaCmDecryptData sw_services:xilsecure:Add volatile keyword for SStatus variable mcdma: Fix canonical definiton in interupt example mcdma: Fix interrupt ids in interrupt example v_hdmirx1: Handle Rx data when there is delay between Rx clock and data BSP: fix style issues BSP: Remove XPAR_CPU_ID dependency scugic: Fix style issues. scugic: Remove XPAR_CPU_ID dependency sw_apps: zynq_fsbl: fixed addresses issue in SDT flow VPROCSS: Added Overview to addtogroup instead of driver version VPROCSS: Driver version is incremented to v2_12 VPROCSS: Error correction in tcl file xilpm: versal: server: Validate PwrDomain pointer Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 871ea843..5b849331 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "45caafc34f34bd84b057cb51ae215a16fe9b88cd" +ESW_REV[2023.2] = "3296a4acd4b09942d03ced91147a1062b6e9b204" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From a043eb87127d589cb4b2a3a692e18e0441c097e9 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Sat, 5 Aug 2023 01:24:24 +0530 Subject: u-boot-xlnx : Updated SRCREV for 2023.2_6015 spi: zynqmp_qpsi: Enable invalidate_cache for ZynqMP and Versal xilinx: board: Add support to pick bootscr address from DT dm: core: ofnode: Add ofnode_read_bootscript_address() Revert "arm64: xilinx: Replace _ from clock node names by -" arm64: zynqmp: Get clock node name back with _ arm64: versal: Increase the number of DRAM banks to 36 spi: zynq: Configure lqspi register based on memory configuration arm64: versal-net: Add sysmon node entry xilinx: zynqmp: Do not setup boot_targets if driver is not enabled xilinx: versal: Do not setup boot_targets if driver is not enabled xilinx: versal-net: Do not setup boot_targets if driver is not enabled arm64: xilinx: Do not use _ in DT node names arm64: zynqmp: Use s/gtr_sel/gtr-sel/ for DT node name arm64: zynqmp: Use s/heartbeat_led/heartbeat-led/ for DT node name arm64: xilinx: Replace _ from clock node names by - arm64: zynqmp: Rename xlnx,mio_bank to xlnx,mio-bank for DLC21 arm64: versal-net: Remove xlnx,device_id property from VNX arm64: versal-net: Remove ref_clk node from VNX board mtd: spi-nor: Update block protection flags for flash parts net: zynq_gem: Add missing newline (upstream sync) xilinx: versal-net: Remove additional newline in board.c spi: spi-uclass: Dont return error for single cs spi: spi-uclass: Move restricting multi_cs_cap code dm: core: Remove debug print from of_read_u64_index mtd: spi-nor: Add support for locking on Spansion nor flashes mtd: spi-nor: Add support for locking on GIGADEVICE nor flashes mtd: spi-nor: Add support for locking on ISSI nor flashes mtd: spi-nor: Add support for locking on Macronix nor flashes clk: zynqmp: Add gem rx and tsu clocks to return register clk: zynqmp: Add set_rate support for gem rx and tsu clks arm64: zynqmp: Add resets property for CAN nodes spi: zynq: Add support for parallel-memories and stacked-memories spi: zynqmp_qspi: Add parallel memories support in GQSPI driver spi: spi-uclass: Read chipselect and restrict capabilities mtd: spi-nor: Add parallel and stacked memories support in spi-nor dm: core: support reading a single indexed u64 value Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 86080392..f3ad3b34 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "d627991fce3232f7d95c736868bc001a8624fc46" +SRCREV = "40fc929c8b210e7d4a45ca40881c888fb53b9926" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From a0f8e8c6ec17a9c3d15f39cb6827dde41e421b78 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Sat, 5 Aug 2023 12:45:13 +0530 Subject: Updated Commit ID petalinux_hsm_bridge.tcl: Remove delete-node aliases Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 13cfb074..29e5377d 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 13cfb07441c9f84217267e53a439f412b6fcfa1d +Subproject commit 29e5377d4e130f568ade3e6fc2239c6955819d8d -- cgit v1.2.3-54-g00ecf From 29c50a9bb1c69ae7b419ba3ca282daec039acb26 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Mon, 7 Aug 2023 14:37:44 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_6943 drm: xlnx: scaler: fix kernel doc typo for function names drm: xlnx: scaler: unused variables logic corrected spi: spi-cadence: Fix transfer timeout issue Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 5f0766cf..0a1c7279 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "c759d488e0395bfb603ea4c3f6700f5bf7ee6325" +SRCREV = "6e3e2d41e824b449a50630936414e447638ccdb7" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 7cb03f64b9589083d4f4ee8d0554266abd691010 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Tue, 8 Aug 2023 12:51:32 +0530 Subject: aie-rt : Updated SRCREV for 2023.2_7359 aie-rt: Updated the license files driver:src: Fixed transaction buffer generation Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 4259a394..7b5ab5db 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "1a19de049e4050d3f2d7f8a7a1004ba8deb63d28" +SRCREV ?= "83c84d3c9617f1b9d71bdcbf6e89b2794f749c78" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From 76bbab0aca634b782a7c5fd2f598511c3116dd54 Mon Sep 17 00:00:00 2001 From: Manikanta Sreeram Date: Tue, 8 Aug 2023 09:08:34 -0600 Subject: revert as it is causing LIC checksum issue Revert "aie-rt : Updated SRCREV for 2023.2_7359" This reverts commit 7cb03f64b9589083d4f4ee8d0554266abd691010. --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 7b5ab5db..4259a394 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "83c84d3c9617f1b9d71bdcbf6e89b2794f749c78" +SRCREV ?= "1a19de049e4050d3f2d7f8a7a1004ba8deb63d28" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From 9ca66ce008d991fd79acb418142b51d761d5bff8 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Tue, 8 Aug 2023 18:08:19 +0530 Subject: u-boot-xlnx : Updated SRCREV for 2023.2_3819 spi: zynq: Clear flags to get updated value spi: zynqmp_qspi: Clear flag to get updated value xilinx: board: Add support to pick bootscr flash offset/size from DT dm: core: ofnode: Add ofnode_read_bootscript_flash() Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index f3ad3b34..d804b9b9 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "40fc929c8b210e7d4a45ca40881c888fb53b9926" +SRCREV = "f7800d4c03751e3233d551971ed053f0c6386274" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From 115b169151dbe40381a2f115e6065b074322c277 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Tue, 8 Aug 2023 18:12:31 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_5327 ddrpsv: Add VersalNet support BSP: cortexr5: Enable LLPP for CortexR52 sw_services:xilsem: Add support for SDT flow SDITXSS: Mismatch between config parameter and TCL parameter mapping. sw_services: xilsecure: Remove duplicate code sw_services: xilmailbox: Fix MISRA-C violations xilpm: versal: server: Add clk_cpll in CPM clock list xilpm: server: GtyHouseClean loop counter made volatile Video Drivers: Modified drivers to remove version number SDIRX: Register Read size is updated to 35. lib: bsp: standalone: Remove PM_CLOCK_SET/GET_RATE APIs from versioning xilpm: versal_common: server: Remove PM_CLOCK_SET/GET_RATE APIs from Featurecheck xilpm: versal_common: client: remove PM_CLOCK_SET/GET_RATE APIs xilpm: zynqmp: client: remove PM_CLOCK_SET/GET_RATE APIs XilNvm: Remove gaps in NVM API IDs gpio: Use Canonical form for base address in gpio examples Deprecate video drivers lib: bsp: Update GPIO and I2C interrupt Id macros for Versal Net sw_services: xilsecure:Handling endianness in ECDSA examples sw_services:xilplmi:Added redundant error check during update sw_services:xilplmi:Added redundant check in Tamper Detect sw_services:xilplmi:Added Redundant call for Sldstate check sw_services:xilplmi:Added redundant write for SSS Config sw_services:xilloader:Remove Additional PPK Check sw_services:xilloader:Updated Image Store Error codes lib: sw_apps: lwip_*: platform.c: Setup seperate timer function for SDT Flow lib: sw_apps: lwip*: Add missing properties from mss lwip213: Rename config params to generic names xilpm:versal_net:server: XPm_Reqm save and restore dynamic fields plm: versal_net: Reinitialize PsmToPlmEvent Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 5b849331..41d256f9 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "3296a4acd4b09942d03ced91147a1062b6e9b204" +ESW_REV[2023.2] = "1a8892594deaa2df664344302c8d1285ab4f77ad" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 74f18537e4247e3fbcd21214c425610411cc8299 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 7 Aug 2023 11:01:50 -0600 Subject: dpdk: Update to the latest SRCREV Changelog: Remove MCDI test app from README_CDX.md file CDXBUS-230: CDX DPDK Documentation CDXBUS-233: Delete MCDI library from DPDK code bus/cdx: silence bus scan when CDX is unavailable Add cdx_test application with PMD Rename cdx_test aplication to cdx_exerciser_test Add instructions to include DPDK packages in rootfs Update README_CDX for June release CDXBUS-224 bus/cdx: Provide a driver flag for optional resource mapping CDXBUS-140: Add mc_driver_pcol_private.h file CDXBUS-140: Add MSI test for VNX board Update cdma_demo application as per upstream fixes CDXBUS-224 Fix coverity errors CDXBUS-222: Pull changes from upstream code Signed-off-by: Mark Hatle --- meta-dpdk/recipes-extended/dpdk/dpdk_22.11.0.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-dpdk/recipes-extended/dpdk/dpdk_22.11.0.bb b/meta-dpdk/recipes-extended/dpdk/dpdk_22.11.0.bb index 48034085..abce8d16 100644 --- a/meta-dpdk/recipes-extended/dpdk/dpdk_22.11.0.bb +++ b/meta-dpdk/recipes-extended/dpdk/dpdk_22.11.0.bb @@ -3,7 +3,7 @@ include dpdk.inc SRC_URI = "git://github.com/Xilinx-CNS/cns-dpdk-next-sfc.git;branch=${BRANCH};protocol=https" BRANCH = "cdx_22.11" -SRCREV = "e0cfb566341221dd08a5a0d7fdefce5432b41735" +SRCREV = "92339d519b50996915e7dbb5b8246b34febcba93" S = "${WORKDIR}/git" # kernel module is provide by dpdk-module recipe, so disable here -- cgit v1.2.3-54-g00ecf From 2de4f60b0c7e011cf3de412626ff4a15c959bbdc Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Thu, 3 Aug 2023 13:44:04 -0500 Subject: libmcdi: Update integration to latest version of the code Linking now takes into account LDFLAGS, so the TARGET_CC_ARCH is no longer needed. do_install was missing header file installation, this has been resolved in the updated: make install Signed-off-by: Mark Hatle --- meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb | 8 ++------ 1 file changed, 2 insertions(+), 6 deletions(-) diff --git a/meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb b/meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb index a154664f..31b3b7a2 100644 --- a/meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb +++ b/meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb @@ -5,7 +5,7 @@ LIC_FILES_CHKSUM = "file://COPYING.MIT;md5=838c366f69b72c5df05c96dff79b35f2" SRC_URI = "git://github.com/Xilinx-CNS/mcdi-lib.git;branch=${BRANCH};protocol=https" BRANCH = "master" -SRCREV = "00e8422cbfc62c90b3a925b734b6c0caa2481540" +SRCREV = "db448189e5fcb38b4750faf6afe243d7998863bc" S = "${WORKDIR}/git" @@ -14,16 +14,12 @@ COMPATIBLE_MACHINE:versal-net = "${MACHINE}" INSTALL_PATH = "${prefix}/share/${PN}/examples" -TARGET_CC_ARCH += "${LDFLAGS}" - do_compile() { oe_runmake all CC="${CC}" CROSS_COMPILE="${TARGET_PREFIX}" } do_install() { - install -d ${D}/${libdir}/ - install -m 0644 ${B}/lib/libmcdi.so.${PV} ${D}/${libdir}/ - ln -sf ${PN}.so ${D}/${libdir}/${PN}.so.${PV} + oe_runmake install prefix="${prefix}" DESTDIR="${D}" install -d ${D}/${INSTALL_PATH} install -m 0755 ${B}/example/mcdi_example_app ${D}/${INSTALL_PATH} -- cgit v1.2.3-54-g00ecf From 6b0ebfcd73fba718344af2aa3081fd6775257bdb Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Thu, 3 Aug 2023 11:10:07 -0600 Subject: meta-dpdk: Add libmcdi to petalinux-image-everything Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- .../petalinux/recipes-core/images/petalinux-image-everything.bbappend | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-dpdk/dynamic-layers/petalinux/recipes-core/images/petalinux-image-everything.bbappend b/meta-dpdk/dynamic-layers/petalinux/recipes-core/images/petalinux-image-everything.bbappend index 025c3efc..732b1b45 100644 --- a/meta-dpdk/dynamic-layers/petalinux/recipes-core/images/petalinux-image-everything.bbappend +++ b/meta-dpdk/dynamic-layers/petalinux/recipes-core/images/petalinux-image-everything.bbappend @@ -1,2 +1,2 @@ -COMMON_INSTALL:append:versal-net = " dpdk" +COMMON_INSTALL:append:versal-net = " dpdk libmcdi" -- cgit v1.2.3-54-g00ecf From 6e5fff1d387abee216b2d8da035a9cbe65a625a8 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Fri, 4 Aug 2023 09:20:30 -0600 Subject: libmcdi: Rename the examples path to make it more clear INSTALL_PATH variable name is imprecise, Hence rename INSTALL_PATH to MCDI_PATH_EXAMPLES. Also use ${datadir} path variable. Signed-off-by: Sandeep Gundlupet Raju Kept the .h files in the -dev package Signed-off-by: Mark Hatle --- meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb | 12 +++++++----- 1 file changed, 7 insertions(+), 5 deletions(-) diff --git a/meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb b/meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb index 31b3b7a2..5036a8d7 100644 --- a/meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb +++ b/meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb @@ -12,7 +12,7 @@ S = "${WORKDIR}/git" COMPATIBLE_MACHINE = "^$" COMPATIBLE_MACHINE:versal-net = "${MACHINE}" -INSTALL_PATH = "${prefix}/share/${PN}/examples" +MCDI_PATH_EXAMPLES = "${datadir}/${BPN}/examples" do_compile() { oe_runmake all CC="${CC}" CROSS_COMPILE="${TARGET_PREFIX}" @@ -21,9 +21,11 @@ do_compile() { do_install() { oe_runmake install prefix="${prefix}" DESTDIR="${D}" - install -d ${D}/${INSTALL_PATH} - install -m 0755 ${B}/example/mcdi_example_app ${D}/${INSTALL_PATH} - install -m 0755 ${B}/init/init_app ${D}/${INSTALL_PATH} + install -d ${D}/${MCDI_PATH_EXAMPLES} + install -m 0755 ${B}/example/mcdi_example_app ${D}/${MCDI_PATH_EXAMPLES} + install -m 0755 ${B}/init/init_app ${D}/${MCDI_PATH_EXAMPLES} } -FILES:${PN} = "${INSTALL_PATH}/* ${libdir}/*" +FILES:${PN} += " \ + ${MCDI_PATH_EXAMPLES}/* \ + " -- cgit v1.2.3-54-g00ecf From eaa935a36a997122016def4211324fffc498c1fc Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Mon, 7 Aug 2023 11:02:07 -0600 Subject: libmcdi: Move examples to an example package Signed-off-by: Mark Hatle --- meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb b/meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb index 5036a8d7..0bc9a849 100644 --- a/meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb +++ b/meta-dpdk/recipes-extended/dpdk/libmcdi_0.1.0.bb @@ -26,6 +26,8 @@ do_install() { install -m 0755 ${B}/init/init_app ${D}/${MCDI_PATH_EXAMPLES} } -FILES:${PN} += " \ +PACKAGES =+ "${PN}-examples" + +FILES:${PN}-examples = " \ ${MCDI_PATH_EXAMPLES}/* \ " -- cgit v1.2.3-54-g00ecf From ee478e17a379f7609f848dbb19da3ddf67d8950d Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Wed, 9 Aug 2023 12:51:15 +0530 Subject: aie-rt : Updated SRCREV for 2023.2_1163 aie-rt: Updated the license files driver:src: Fixed transaction buffer generation Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 4259a394..7b5ab5db 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "1a19de049e4050d3f2d7f8a7a1004ba8deb63d28" +SRCREV ?= "83c84d3c9617f1b9d71bdcbf6e89b2794f749c78" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From 98db33100e47d3fca5a600042dfdf40e40d4ecc4 Mon Sep 17 00:00:00 2001 From: Manikanta Sreeram Date: Wed, 9 Aug 2023 06:02:42 -0600 Subject: Revert "aie-rt : Updated SRCREV for 2023.2_1163" This reverts commit ee478e17a379f7609f848dbb19da3ddf67d8950d. --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 7b5ab5db..4259a394 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "83c84d3c9617f1b9d71bdcbf6e89b2794f749c78" +SRCREV ?= "1a19de049e4050d3f2d7f8a7a1004ba8deb63d28" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" -- cgit v1.2.3-54-g00ecf From e8f00a367904561a213d10d5200eb13ad3e254e8 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Wed, 9 Aug 2023 12:52:43 +0530 Subject: dts : Updated SRCREV for 2023.2_9179 versal: Add support for xcvm2602 Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb index 5495029f..5db8c0b9 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb @@ -2,5 +2,5 @@ require qemu-devicetrees.inc BRANCH ?= "master" -SRCREV ?= "0099560e51a915e11f9cfede98ee14abc5644cb8" +SRCREV ?= "86b1a621919f2fb27e5ef4120fcacde67d43368d" -- cgit v1.2.3-54-g00ecf From 3470e5006791704a01188007eb4e2a90a72f7570 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Wed, 9 Aug 2023 17:27:29 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_5175 arm64: versal-net: Add sysmon node entry Revert "arm64: xilinx: Replace _ from clock node names by -" drm: xlnx: hdmi: Fix kernel documentation for xlnx_hdmi_set_frl_tmds_mode() drm: xlnx: hdcp: Fix kernel documentation for xlnx_hdcp_tx_init() pinctrl: pinctrl-zynqmp: Add support for output-enable and bias-high impedance dt-bindings: pinctrl-zynqmp: Add output-enable configuration firmware: xilinx: Add version check for TRISTATE configuration firmware: xilinx: Use GENMASK for Family and SubFamily macros firmware: xilinx: remove clock_setrate and clock_getrate api Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 0a1c7279..8765c1b2 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "6e3e2d41e824b449a50630936414e447638ccdb7" +SRCREV = "305343f9d8594eb5753413ba16b0e0152d1af68f" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 3e38859b24953565451cecb3f6acfc9fc92fa7c0 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Wed, 9 Aug 2023 17:25:59 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_9811 VersalNet PLM: Updated MC mb and PMC handshake support source xdmapcie: Fixed xdmapcie driver integration issue in SDT flow pciepsu: Fixed Config structure issue in SDT flow scripts: pyesw: Fix issues with the code intelliSense lib: sw_apps: lwip*: Bump stack and heap size scripts: pyesw: Add support for override os level params from the template app XilinxProcessorIPLib: drivers: Fix syntax issues in the scutimer and scuwdt examples for peripheral test in system device-tree flow cmake: toolchainfiles: microblaze_toolchain.cmake: Add gc-sections linker flags by default Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 41d256f9..58760c54 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "1a8892594deaa2df664344302c8d1285ab4f77ad" +ESW_REV[2023.2] = "b9a66f8cdbbbc7a2c5944baa73b342910e41f094" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From ce7e774333a18f3f833fb39988797143733a7030 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Fri, 11 Aug 2023 18:33:45 +0530 Subject: u-boot-xlnx : Updated SRCREV for 2023.2_8499 pinctrl: zynqmp: Add support for output-enable and bias-high-impedance pinctrl: zynqmp: Add version check for TRISTATE configuration firmware: zynqmp: Add support to check feature arm64: versal_net: Disable the lock option for mini ospi and qspi arm64: zynqmp: Disable the lock option for mini qspi arm64: versal: Disable the lock option for mini ospi and qspi mtd: spi-nor: Add spi flash lock config option Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index d804b9b9..79cca5f6 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "f7800d4c03751e3233d551971ed053f0c6386274" +SRCREV = "2d10e6530d13a272b7e43c943f5c814a5d304f6a" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From 2d426bb8afc46b1a0913c610464be06fc20ea1c6 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Fri, 11 Aug 2023 18:38:13 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_3315 lib: sw_apps: Update lwip BM apps to have additional SDT checks lib: sw_apps: lwip_echo_server: Remove PPC platform file Video drivers: Removed version number and added Overview. spips: Fix data corruption issue at lower clock speed lib: sw_apps: freert lwip*: Add custom heap size for freertos scripts: pyesw: build_app: Update link libraries based on the available libraries in the bsp xilpm: versal_net: add tcm device into prealloc list mipicsiss : Updated XV_HdmiTxSs1_ReadEdid API Updated changelog for axicdma and other componets v_hdmitxss1: updated the API call for XV_HdmiTxSs1_ReadEdid v_hdmirxss1: updated API call for XV_HdmiTxSs1_ReadEdid sw_services:xilsem:Corrected XSEM_SSIT_MAX_SLR_CNT macro definition ddrcpsu: Fix code formatting issues with checkpatch ddrcpsu: Add support for system device-tree flow Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 58760c54..1bb8ed37 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "b9a66f8cdbbbc7a2c5944baa73b342910e41f094" +ESW_REV[2023.2] = "1bcf2265e0431eb26a28f4ddd9ff76afc495c4ea" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From ef56d304f5fcf24020c3cd4cd62ce2d16247abb6 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Mon, 14 Aug 2023 17:14:42 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_5595 trngpsx: Removed example support for PKI instances nandps: Fix syntax issues in driver CMakeLists.txt Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 1bb8ed37..ef33d2a4 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "1bcf2265e0431eb26a28f4ddd9ff76afc495c4ea" +ESW_REV[2023.2] = "92e375271e6ff5f4c75822a8b9a647dc1f3bdc71" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 9220f00412b1cce5c3904ffda19c4ad87d8e83e4 Mon Sep 17 00:00:00 2001 From: Daniel Levin Date: Tue, 8 Aug 2023 20:31:56 -0600 Subject: monitor-hotplug.sh: Fix detecting XAUTHORITY Previously XAUTHORITY location was detected by filtering Xorg process command line, which is not available in busybox ps implementation. Instead detect correct Xorg commnad line by grepping for the "Xorg :displaynum" and extract -auth argument. If no -auth argument present then Xorg is running without display manager, thus XAUTHORITY env var should remain undefined. Signed-off-by: Daniel Levin Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- .../xorg-xserver/xserver-xorg/monitor-hotplug.sh | 19 +++++++++++++++++-- 1 file changed, 17 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg/monitor-hotplug.sh b/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg/monitor-hotplug.sh index d603082d..bceb5607 100755 --- a/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg/monitor-hotplug.sh +++ b/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg/monitor-hotplug.sh @@ -27,12 +27,27 @@ DEVICES=$(find /sys/class/drm/*/status) # inspired by /etc/acpd/lid.sh and the function it sources. -displaynum=`ls /tmp/.X11-unix/* | sed s#/tmp/.X11-unix/X##` +# Read first X display number from the list. +displaynum=`ls /tmp/.X11-unix/* | sed s#/tmp/.X11-unix/X## | head -n 1` +displaynum=${displaynum%% *} + display=":$displaynum.0" export DISPLAY=":$displaynum.0" # from https://wiki.archlinux.org/index.php/Acpid#Laptop_Monitor_Power_Off -export XAUTHORITY=$(ps -C Xorg -f --no-header | sed -n 's/.*-auth //; s/ -[^ ].*//; p') + +# Clear XAUTHORITY by default in case X session is not using display manager. +unset XAUTHORITY + +# Detect X session command line started for the display $displaynum and extract +# -auth argument if any. +ps -eo args | grep -e "Xorg\W*:$displaynum" | grep -e -auth | while read -r line +do + if [[ "${line%% *}" == *Xorg ]]; then + export XAUTHORITY=`echo $line | sed -n 's/.*-auth //; s/ -[^ ].*//; p'` + break + fi +done for i in /sys/class/drm/*/*/status ; do -- cgit v1.2.3-54-g00ecf From e736fa1ca4c795f969a8607db6ff928ea16fe252 Mon Sep 17 00:00:00 2001 From: Gregory Williams Date: Wed, 9 Aug 2023 13:54:53 -0700 Subject: meta-xilinx-core: Update SRCREV and license checksum for ai-engine Update license checksum and source revision for ai-engine recipes. Signed-off-by: Gregory Williams Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 4259a394..53b667cf 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,9 +3,9 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "1a19de049e4050d3f2d7f8a7a1004ba8deb63d28" +SRCREV ?= "83c84d3c9617f1b9d71bdcbf6e89b2794f749c78" LICENSE = "BSD-3-Clause" -LIC_FILES_CHKSUM ?= "file://license.txt;md5=b81abf1f508952331dd7d2ab36408f5b" +LIC_FILES_CHKSUM ?= "file://license.txt;md5=04a153cae61a8a606fc79dff49c2c897" SRC_URI = "${REPO};branch=${BRANCH}" -- cgit v1.2.3-54-g00ecf From 986f2ff00bf94b5e3a24dc4993dd4b91eb56003b Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Thu, 10 Aug 2023 16:35:21 -0600 Subject: u-boot-xlnx-scr: Set weak assignment for variables Variables set from dynamic layer bbappends will not take effect due softer assignment defined in u-boot-xlnx-scr.bb. Hence weak assignment should be set for variables in u-boot-xlnx-scr.bb file so that pre-expansion values are properly handled. This way variable value can be changed from dynamic layer bbapends or local.conf. Also fix indentation. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- .../recipes-bsp/u-boot/u-boot-xlnx-scr.bb | 204 +++++++++++---------- 1 file changed, 103 insertions(+), 101 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb index a4d7175b..76e074b4 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb @@ -38,25 +38,25 @@ DDR_BASEADDR:microblaze ?= "0x80000000" PRE_BOOTENV ?= "" SRC_URI = " \ - file://boot.cmd.sd.zynq \ - file://boot.cmd.sd.zynqmp \ - file://boot.cmd.sd.versal \ - file://boot.cmd.qspi.versal \ - file://boot.cmd.generic \ - file://boot.cmd.generic.root \ - file://boot.cmd.ubifs \ - file://pxeboot.pxe \ - " + file://boot.cmd.sd.zynq \ + file://boot.cmd.sd.zynqmp \ + file://boot.cmd.sd.versal \ + file://boot.cmd.qspi.versal \ + file://boot.cmd.generic \ + file://boot.cmd.generic.root \ + file://boot.cmd.ubifs \ + file://pxeboot.pxe \ + " # Even thought we don't create a package, make sure this is unique to the machine PACKAGE_ARCH = "${MACHINE_ARCH}" inherit image-artifact-names UENV_TEXTFILE ?= "uEnv.txt" -UENV_MMC_OFFSET:zynqmp ?= "0x200000" -UENV_MMC_OFFSET:zynq ?= "0x2080000" -UENV_MMC_OFFSET:versal ?= "0x200000" -UENV_MMC_OFFSET:microblaze ?= "0x0" +UENV_MMC_OFFSET:zynqmp ??= "0x200000" +UENV_MMC_OFFSET:zynq ??= "0x2080000" +UENV_MMC_OFFSET:versal ??= "0x200000" +UENV_MMC_OFFSET:microblaze ??= "0x0" UENV_MMC_LOAD_ADDRESS ?= "${@append_baseaddr(d,d.getVar('UENV_MMC_OFFSET'))}" @@ -66,87 +66,87 @@ UBOOTPXE_CONFIG_NAME = "${UBOOTPXE_CONFIG}${IMAGE_VERSION_SUFFIX}" DEVICETREE_ADDRESS ?= "${@append_baseaddr(d,d.getVar('DEVICETREE_OFFSET'))}" -DEVICETREE_OFFSET:microblaze ?= "0x1e00000" -DEVICETREE_OFFSET:zynqmp ?= "0x100000" -DEVICETREE_OFFSET:zynq ?= "0x100000" -DEVICETREE_OFFSET:versal ?= "0x1000" +DEVICETREE_OFFSET:microblaze ??= "0x1e00000" +DEVICETREE_OFFSET:zynqmp ??= "0x100000" +DEVICETREE_OFFSET:zynq ??= "0x100000" +DEVICETREE_OFFSET:versal ??= "0x1000" -DEVICETREE_OVERLAY_OFFSET:microblaze ?= "0x1e00000" -DEVICETREE_OVERLAY_OFFSET:zynqmp ?= "0x100000" -DEVICETREE_OVERLAY_OFFSET:zynq ?= "0x100000" -DEVICETREE_OVERLAY_OFFSET:versal ?= "0x1000" -DEVICETREE_OVERLAY_PADSIZE ?= "0xf00000" +DEVICETREE_OVERLAY_OFFSET:microblaze ??= "0x1e00000" +DEVICETREE_OVERLAY_OFFSET:zynqmp ??= "0x100000" +DEVICETREE_OVERLAY_OFFSET:zynq ??= "0x100000" +DEVICETREE_OVERLAY_OFFSET:versal ??= "0x1000" +DEVICETREE_OVERLAY_PADSIZE ??= "0xf00000" DEVICETREE_OVERLAY_ADDRESS ?= "${@hex(int(append_baseaddr(d,d.getVar('DEVICETREE_OVERLAY_OFFSET')),16) \ + int(d.getVar('DEVICETREE_OVERLAY_PADSIZE'),16))}" KERNEL_LOAD_ADDRESS ?= "${@append_baseaddr(d,d.getVar('KERNEL_OFFSET'))}" -KERNEL_OFFSET:microblaze ?= "0x0" -KERNEL_OFFSET:zynqmp ?= "0x200000" -KERNEL_OFFSET:zynq ?= "0x200000" -KERNEL_OFFSET:versal ?= "0x200000" +KERNEL_OFFSET:microblaze ??= "0x0" +KERNEL_OFFSET:zynqmp ??= "0x200000" +KERNEL_OFFSET:zynq ??= "0x200000" +KERNEL_OFFSET:versal ??= "0x200000" KERNEL_IMAGE ?= "${KERNEL_IMAGETYPE}" RAMDISK_IMAGE_ADDRESS ?= "${@append_baseaddr(d,d.getVar('RAMDISK_OFFSET'))}" -RAMDISK_OFFSET:microblaze ?= "0x2e00000" -RAMDISK_OFFSET:zynq ?= "0x4000000" -RAMDISK_OFFSET:zynqmp ?= "0x4000000" -RAMDISK_OFFSET:versal ?= "0x4000000" +RAMDISK_OFFSET:microblaze ??= "0x2e00000" +RAMDISK_OFFSET:zynq ??= "0x4000000" +RAMDISK_OFFSET:zynqmp ??= "0x4000000" +RAMDISK_OFFSET:versal ??= "0x4000000" FIT_IMAGE_LOAD_ADDRESS ?= "${@append_baseaddr(d,d.getVar('FIT_IMAGE_OFFSET'))}" -FIT_IMAGE_OFFSET ?= "0x10000000" +FIT_IMAGE_OFFSET ??= "0x10000000" FIT_IMAGE ?= "image.ub" ## Below offsets and sizes are based on 32MB QSPI Memory for zynq ## For MB ## Load boot.scr at 0xFC0000 -> 15MB of QSPI/NAND Memory -QSPI_KERNEL_OFFSET:microblaze ?= "0xBC0000" -QSPI_KERNEL_SIZE:microblaze ?= "0x500000" -QSPI_RAMDISK_SIZE:microblaze ?= "0xA00000" -QSPI_RAMDISK_SIZE:microblaze ?= "0x4000000" +QSPI_KERNEL_OFFSET:microblaze ??= "0xBC0000" +QSPI_KERNEL_SIZE:microblaze ??= "0x500000" +QSPI_RAMDISK_SIZE:microblaze ??= "0xA00000" +QSPI_RAMDISK_SIZE:microblaze ??= "0x4000000" ## For zynq ## Load boot.scr at 0xFC0000 -> 15MB of QSPI/NAND Memory -QSPI_KERNEL_OFFSET:zynq ?= "0xA00000" -QSPI_RAMDISK_OFFSET:zynq ?= "0x1000000" +QSPI_KERNEL_OFFSET:zynq ??= "0xA00000" +QSPI_RAMDISK_OFFSET:zynq ??= "0x1000000" -NAND_KERNEL_OFFSET:zynq ?= "0x1000000" -NAND_RAMDISK_OFFSET:zynq ?= "0x4600000" +NAND_KERNEL_OFFSET:zynq ??= "0x1000000" +NAND_RAMDISK_OFFSET:zynq ??= "0x4600000" -QSPI_KERNEL_SIZE:zynq ?= "0x600000" -QSPI_RAMDISK_SIZE:zynq ?= "0xF80000" +QSPI_KERNEL_SIZE:zynq ??= "0x600000" +QSPI_RAMDISK_SIZE:zynq ??= "0xF80000" -NAND_KERNEL_SIZE ?= "0x3200000" -NAND_RAMDISK_SIZE ?= "0x3200000" +NAND_KERNEL_SIZE ??= "0x3200000" +NAND_RAMDISK_SIZE ??= "0x3200000" ## Below offsets and sizes are based on 128MB QSPI Memory for zynqmp/versal ## For zynqMP ## Load boot.scr at 0x3E80000 -> 62MB of QSPI/NAND Memory -QSPI_KERNEL_OFFSET ?= "0xF00000" -QSPI_KERNEL_OFFSET:zynqmpdr ?= "0x3F00000" -QSPI_RAMDISK_OFFSET ?= "0x4000000" -QSPI_RAMDISK_OFFSET:zynqmpdr ?= "0x5D00000" +QSPI_KERNEL_OFFSET ??= "0xF00000" +QSPI_KERNEL_OFFSET:zynqmpdr ??= "0x3F00000" +QSPI_RAMDISK_OFFSET ??= "0x4000000" +QSPI_RAMDISK_OFFSET:zynqmpdr ??= "0x5D00000" -NAND_KERNEL_OFFSET:zynqmp ?= "0x4100000" -NAND_RAMDISK_OFFSET:zynqmp ?= "0x7800000" +NAND_KERNEL_OFFSET:zynqmp ??= "0x4100000" +NAND_RAMDISK_OFFSET:zynqmp ??= "0x7800000" -QSPI_KERNEL_SIZE:zynqmp ?= "0x1D00000" -QSPI_RAMDISK_SIZE ?= "0x4000000" -QSPI_RAMDISK_SIZE:zynqmpdr ?= "0x1D00000" +QSPI_KERNEL_SIZE:zynqmp ??= "0x1D00000" +QSPI_RAMDISK_SIZE ??= "0x4000000" +QSPI_RAMDISK_SIZE:zynqmpdr ??= "0x1D00000" ## For versal ## Load boot.scr at 0x7F80000 -> 127MB of QSPI/NAND Memory -QSPI_KERNEL_OFFSET:versal ?= "0xF00000" -QSPI_RAMDISK_OFFSET:versal ?= "0x2E00000" +QSPI_KERNEL_OFFSET:versal ??= "0xF00000" +QSPI_RAMDISK_OFFSET:versal ??= "0x2E00000" -NAND_KERNEL_OFFSET:versal ?= "0x4100000" -NAND_RAMDISK_OFFSET:versal ?= "0x8200000" +NAND_KERNEL_OFFSET:versal ??= "0x4100000" +NAND_RAMDISK_OFFSET:versal ??= "0x8200000" -QSPI_KERNEL_SIZE:versal ?= "0x1D00000" -QSPI_RAMDISK_SIZE:versal ?= "0x4000000" +QSPI_KERNEL_SIZE:versal ??= "0x1D00000" +QSPI_RAMDISK_SIZE:versal ??= "0x4000000" QSPI_KERNEL_IMAGE:microblaze ?= "image.ub" QSPI_KERNEL_IMAGE:zynq ?= "image.ub" @@ -155,19 +155,19 @@ QSPI_KERNEL_IMAGE:versal ?= "image.ub" NAND_KERNEL_IMAGE ?= "image.ub" -QSPI_FIT_IMAGE_OFFSET ?= "0xF40000" -QSPI_FIT_IMAGE_OFFSET:zynqmpdr ?= "0x3F80000" -QSPI_FIT_IMAGE_OFFSET:zynq ?= "0xA80000" -QSPI_FIT_IMAGE_OFFSET:microblaze ?= "0xC00000" +QSPI_FIT_IMAGE_OFFSET ??= "0xF40000" +QSPI_FIT_IMAGE_OFFSET:zynqmpdr ??= "0x3F80000" +QSPI_FIT_IMAGE_OFFSET:zynq ??= "0xA80000" +QSPI_FIT_IMAGE_OFFSET:microblaze ??= "0xC00000" -QSPI_FIT_IMAGE_SIZE ?= "0x6400000" -QSPI_FIT_IMAGE_SIZE:zynqmpdr ?= "0x3F00000" -QSPI_FIT_IMAGE_SIZE:zynq ?= "0x1500000" -QSPI_FIT_IMAGE_SIZE:microblaze ?= "0xF00000" +QSPI_FIT_IMAGE_SIZE ??= "0x6400000" +QSPI_FIT_IMAGE_SIZE:zynqmpdr ??= "0x3F00000" +QSPI_FIT_IMAGE_SIZE:zynq ??= "0x1500000" +QSPI_FIT_IMAGE_SIZE:microblaze ??= "0xF00000" -NAND_FIT_IMAGE_OFFSET ?= "0x4180000" -NAND_FIT_IMAGE_OFFSET:zynq ?= "0x1080000" -NAND_FIT_IMAGE_SIZE ?= "0x6400000" +NAND_FIT_IMAGE_OFFSET ??= "0x4180000" +NAND_FIT_IMAGE_OFFSET:zynq ??= "0x1080000" +NAND_FIT_IMAGE_SIZE ??= "0x6400000" # Default to booting with the rootfs device being partition 2 for SD/eMMC PARTNUM ?= "2" @@ -215,42 +215,44 @@ do_compile() { -e 's/@@RAMDISK_IMAGE@@/${RAMDISK_IMAGE}/' \ -e 's/@@RAMDISK_IMAGE_ADDRESS@@/${RAMDISK_IMAGE_ADDRESS}/' \ -e 's/@@KERNEL_BOOTCMD@@/${KERNEL_BOOTCMD}/' \ - -e 's/@@SDBOOTDEV@@/${SDBOOTDEV}/' \ - -e 's/@@BITSTREAM@@/${@boot_files_bitstream(d)[0]}/g' \ - -e 's/@@BITSTREAM_LOAD_ADDRESS@@/${BITSTREAM_LOAD_ADDRESS}/g' \ - -e 's/@@BITSTREAM_IMAGE@@/${@boot_files_bitstream(d)[0]}/g' \ - -e 's/@@BITSTREAM_LOAD_TYPE@@/${@get_bitstream_load_type(d)}/g' \ - -e 's/@@QSPI_KERNEL_OFFSET@@/${QSPI_KERNEL_OFFSET}/' \ - -e 's/@@NAND_KERNEL_OFFSET@@/${NAND_KERNEL_OFFSET}/' \ - -e 's/@@QSPI_KERNEL_SIZE@@/${QSPI_KERNEL_SIZE}/' \ - -e 's/@@NAND_KERNEL_SIZE@@/${NAND_KERNEL_SIZE}/' \ - -e 's/@@QSPI_RAMDISK_OFFSET@@/${QSPI_RAMDISK_OFFSET}/' \ - -e 's/@@NAND_RAMDISK_OFFSET@@/${NAND_RAMDISK_OFFSET}/' \ - -e 's/@@QSPI_RAMDISK_SIZE@@/${QSPI_RAMDISK_SIZE}/' \ - -e 's/@@NAND_RAMDISK_SIZE@@/${NAND_RAMDISK_SIZE}/' \ - -e 's/@@KERNEL_IMAGE@@/${KERNEL_IMAGE}/' \ - -e 's/@@QSPI_KERNEL_IMAGE@@/${QSPI_KERNEL_IMAGE}/' \ - -e 's/@@NAND_KERNEL_IMAGE@@/${NAND_KERNEL_IMAGE}/' \ - -e 's/@@FIT_IMAGE_LOAD_ADDRESS@@/${FIT_IMAGE_LOAD_ADDRESS}/' \ - -e 's/@@QSPI_FIT_IMAGE_OFFSET@@/${QSPI_FIT_IMAGE_OFFSET}/' \ - -e 's/@@QSPI_FIT_IMAGE_SIZE@@/${QSPI_FIT_IMAGE_SIZE}/' \ - -e 's/@@NAND_FIT_IMAGE_OFFSET@@/${NAND_FIT_IMAGE_OFFSET}/' \ - -e 's/@@NAND_FIT_IMAGE_SIZE@@/${NAND_FIT_IMAGE_SIZE}/' \ - -e 's/@@FIT_IMAGE@@/${FIT_IMAGE}/' \ - -e 's/@@PRE_BOOTENV@@/${PRE_BOOTENV}/' \ - -e 's/@@UENV_MMC_LOAD_ADDRESS@@/${UENV_MMC_LOAD_ADDRESS}/' \ - -e 's/@@UENV_TEXTFILE@@/${UENV_TEXTFILE}/' \ - -e 's/@@RAMDISK_IMAGE1@@/${RAMDISK_IMAGE1}/' \ - -e 's/@@PARTNUM@@/${PARTNUM}/' \ - -e 's:@@KERNEL_ROOT_SD@@:${KERNEL_ROOT_SD}:' \ - -e 's:@@KERNEL_ROOT_RAMDISK@@:${KERNEL_ROOT_RAMDISK}:' \ - -e 's:@@KERNEL_COMMAND_APPEND@@:${KERNEL_COMMAND_APPEND}:' \ + -e 's/@@SDBOOTDEV@@/${SDBOOTDEV}/' \ + -e 's/@@BITSTREAM@@/${@boot_files_bitstream(d)[0]}/g' \ + -e 's/@@BITSTREAM_LOAD_ADDRESS@@/${BITSTREAM_LOAD_ADDRESS}/g' \ + -e 's/@@BITSTREAM_IMAGE@@/${@boot_files_bitstream(d)[0]}/g' \ + -e 's/@@BITSTREAM_LOAD_TYPE@@/${@get_bitstream_load_type(d)}/g' \ + -e 's/@@QSPI_KERNEL_OFFSET@@/${QSPI_KERNEL_OFFSET}/' \ + -e 's/@@NAND_KERNEL_OFFSET@@/${NAND_KERNEL_OFFSET}/' \ + -e 's/@@QSPI_KERNEL_SIZE@@/${QSPI_KERNEL_SIZE}/' \ + -e 's/@@NAND_KERNEL_SIZE@@/${NAND_KERNEL_SIZE}/' \ + -e 's/@@QSPI_RAMDISK_OFFSET@@/${QSPI_RAMDISK_OFFSET}/' \ + -e 's/@@NAND_RAMDISK_OFFSET@@/${NAND_RAMDISK_OFFSET}/' \ + -e 's/@@QSPI_RAMDISK_SIZE@@/${QSPI_RAMDISK_SIZE}/' \ + -e 's/@@NAND_RAMDISK_SIZE@@/${NAND_RAMDISK_SIZE}/' \ + -e 's/@@KERNEL_IMAGE@@/${KERNEL_IMAGE}/' \ + -e 's/@@QSPI_KERNEL_IMAGE@@/${QSPI_KERNEL_IMAGE}/' \ + -e 's/@@NAND_KERNEL_IMAGE@@/${NAND_KERNEL_IMAGE}/' \ + -e 's/@@FIT_IMAGE_LOAD_ADDRESS@@/${FIT_IMAGE_LOAD_ADDRESS}/' \ + -e 's/@@QSPI_FIT_IMAGE_OFFSET@@/${QSPI_FIT_IMAGE_OFFSET}/' \ + -e 's/@@QSPI_FIT_IMAGE_SIZE@@/${QSPI_FIT_IMAGE_SIZE}/' \ + -e 's/@@NAND_FIT_IMAGE_OFFSET@@/${NAND_FIT_IMAGE_OFFSET}/' \ + -e 's/@@NAND_FIT_IMAGE_SIZE@@/${NAND_FIT_IMAGE_SIZE}/' \ + -e 's/@@FIT_IMAGE@@/${FIT_IMAGE}/' \ + -e 's/@@PRE_BOOTENV@@/${PRE_BOOTENV}/' \ + -e 's/@@UENV_MMC_LOAD_ADDRESS@@/${UENV_MMC_LOAD_ADDRESS}/' \ + -e 's/@@UENV_TEXTFILE@@/${UENV_TEXTFILE}/' \ + -e 's/@@RAMDISK_IMAGE1@@/${RAMDISK_IMAGE1}/' \ + -e 's/@@PARTNUM@@/${PARTNUM}/' \ + -e 's:@@KERNEL_ROOT_SD@@:${KERNEL_ROOT_SD}:' \ + -e 's:@@KERNEL_ROOT_RAMDISK@@:${KERNEL_ROOT_RAMDISK}:' \ + -e 's:@@KERNEL_COMMAND_APPEND@@:${KERNEL_COMMAND_APPEND}:' \ "${WORKDIR}/boot.cmd.${BOOTMODE}${BOOTFILE_EXT}" > "${WORKDIR}/boot.cmd" + mkimage -A arm -T script -C none -n "Boot script" -d "${WORKDIR}/boot.cmd" boot.scr + sed -e 's/@@KERNEL_IMAGETYPE@@/${KERNEL_IMAGETYPE}/' \ -e 's/@@DEVICE_TREE_NAME@@/${DEVICE_TREE_NAME}/' \ - -e 's/@@RAMDISK_IMAGE@@/${PXERAMDISK_IMAGE}/' \ - "${WORKDIR}/pxeboot.pxe" > "pxeboot.pxe" + -e 's/@@RAMDISK_IMAGE@@/${PXERAMDISK_IMAGE}/' \ + "${WORKDIR}/pxeboot.pxe" > "pxeboot.pxe" } do_install() { -- cgit v1.2.3-54-g00ecf From c38486bcf2512f3f5f56c735b4fdd65324480059 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Thu, 10 Aug 2023 16:35:22 -0600 Subject: u-boot-xlnx-scr: Add variables for xen boot Add variables for xen u-boot script and define in meta-virtualization dynamic layer bbapends. Variables are set wtih weak default assignment. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb | 16 ++++++++++++++++ 1 file changed, 16 insertions(+) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb index 76e074b4..5fe663d2 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb @@ -169,6 +169,15 @@ NAND_FIT_IMAGE_OFFSET ??= "0x4180000" NAND_FIT_IMAGE_OFFSET:zynq ??= "0x1080000" NAND_FIT_IMAGE_SIZE ??= "0x6400000" +# Xen boot script variables. Define here and set it from meta-virtualization +XEN_OFFSET ??= "0xBA00000" +XEN_LOAD_ADDRESS ?= "${@append_baseaddr(d,d.getVar('XEN_OFFSET'))}" +XEN_IMAGE_NAME ??= "xen" +DOM0_MEM ??= "1500M" +XEN_SERIAL_CONSOLES ??= "serial0" +XEN_CMDLINE_APPEND ??= "" +DOM0_MAX_VCPUS ??= "1" + # Default to booting with the rootfs device being partition 2 for SD/eMMC PARTNUM ?= "2" @@ -245,6 +254,13 @@ do_compile() { -e 's:@@KERNEL_ROOT_SD@@:${KERNEL_ROOT_SD}:' \ -e 's:@@KERNEL_ROOT_RAMDISK@@:${KERNEL_ROOT_RAMDISK}:' \ -e 's:@@KERNEL_COMMAND_APPEND@@:${KERNEL_COMMAND_APPEND}:' \ + -e 's/@@XEN_IMAGE_NAME@@/${XEN_IMAGE_NAME}/' \ + -e 's/@@XEN_OFFSET@@/${XEN_OFFSET}/' \ + -e 's/@@XEN_LOAD_ADDRESS@@/${XEN_LOAD_ADDRESS}/' \ + -e 's/@@DOM0_MEM@@/${DOM0_MEM}/' \ + -e 's/@@DOM0_MAX_VCPUS@@/${DOM0_MAX_VCPUS}/' \ + -e 's:@@XEN_SERIAL_CONSOLES@@:${XEN_SERIAL_CONSOLES}:' \ + -e 's:@@XEN_CMDLINE_APPEND@@:${XEN_CMDLINE_APPEND}:' \ "${WORKDIR}/boot.cmd.${BOOTMODE}${BOOTFILE_EXT}" > "${WORKDIR}/boot.cmd" mkimage -A arm -T script -C none -n "Boot script" -d "${WORKDIR}/boot.cmd" boot.scr -- cgit v1.2.3-54-g00ecf From 615327fe45ce4c393b16cb040ed8451c557cfc5a Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Tue, 15 Aug 2023 12:45:37 +0530 Subject: arm-trusted-firmware : Updated SRCREV for 2023.2_7919 fix(versal-net): make pmc ipi channel as secure fix(versal): make pmc ipi channel as secure fix(versal-net): add redundant call to avoid glitches fix(versal-net): change flag to increase security chore(zynqmp): remove unused configuration from TSP fix(zynqmp): resolve runtime error in TSP chore(xilinx): reorder headers in assembly files chore(xilinx): correct kernel doc warnings for missing functions fix(xilinx): add headers to resolve compile time issue fix(xilinx): remove clock_setrate and clock_getrate api feat(versal-net): ddr address reservation in dtb at runtime feat(versal): ddr address reservation in dtb at runtime Signed-off-by: Siva Addepalli --- .../recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb index 13066f08..7120d263 100644 --- a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb @@ -1,5 +1,5 @@ ATF_VERSION = "2.8" -SRCREV = "f0ba7ad93cca64ca89cab9039ad76557c1db42dd" +SRCREV = "8fa10866141b488d3c257174c1cbc052aec59fac" BRANCH = "xlnx_rebase_v2.8" LIC_FILES_CHKSUM = "file://license.rst;md5=1dd070c98a281d18d9eefd938729b031" -- cgit v1.2.3-54-g00ecf From 517ea2b99abdd164bd6a4d18c67a97dbaded6f6e Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Thu, 17 Aug 2023 12:51:27 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_9835 versal:psmfw: Integrate PSM interrupt injection STL axivdma: Add selftest example in yaml file xilpm: Fix for DDR modeling implementation gaps for versal-net xilpm: versal_net: Synchronize init node functions with Versal xilpm: versal_common: Copy xpm_node.h and xpm_nodeid.h generated by the latest versal-topology repo sw_apps: zynqmp_fsbl: Added protection macro for the prints at handoff bsp: standalone: Do not assign coresight as stdout/stdin for non ARM based processors. xilpm: versal_net: server: Remove redundant workaround BSP:ARMv8:32bit: Fix Cortexa53 32 bit BSP compilation failure trngpsv: Doxygen fixes xilpdi: Doxygen fixes xilloader: Doxygen fixes sw_apps: zynq_fsbl: fixed addresses issue in SDT flow uartns550: Add support for peripheral test for uartns550 in SDT flow uartpsv: Add support for peripheral test for uartpsv in SDT flow iicps: Add support for peripheral test for iicps in SDT flow iic: Add support for peripheral test for iic in SDT flow uartlite: Add support for peripheral test for uartlite in SDT flow uartps: Add support for peripheral tests in SDT flow gpio: Disable peripheral test for gpio in SDT flow sw_services:xilnvm: Add redundnacy for XNvm_EfusePgmAndVerifyBit sw_services:xilnvm:Removed XNvm_EfuseReadCacheRange sw_services:xilnvm: Assign key clear status only when status is XST_SUCCESS sw_services:xilnvm: Add missing else check in XNvm_EfuseReadPpkHash sw_services:xilnvm: Clear AES keys sw_apps: zynqmp_fsbl: Add forward declaration for config object xilpm: Add forward declaration for config object uartlite: Use Canonical form for base address in uartlite examples scugic: Support PL to PS interrupts for VERSAL NET Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index ef33d2a4..55fad44c 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "92e375271e6ff5f4c75822a8b9a647dc1f3bdc71" +ESW_REV[2023.2] = "f17f0ceebe99fb75eea5a04c951bad7027bd9370" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 89daaf083b4d4f3e6e5875545dd2387d2e05b468 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Mon, 14 Aug 2023 08:59:23 -0600 Subject: dfx_user_dts: Add support for bin and dts use case 1. Add support for bin and single dts use case. 2. Skip reciep when both .bit and .bin files are used in SRC_URI. 3. Fix regular expression logic to handle .dts and .dtsi file search. For example if the file name is user-dts.dtsi then dts search count was set to one which results in build failures. 4. Skip devicetree do_compile task if input file is dtbo in SRC_URI for ZynqMP and Zynq-7000. 5. Using bootgen tool "-o" option user can specify output file name. Hence fix logic for .bin install as .bin file name doesn't have to be .bit.bin always. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-core/classes/dfx_user_dts.bbclass | 96 ++++++++++++++++----------- 1 file changed, 56 insertions(+), 40 deletions(-) diff --git a/meta-xilinx-core/classes/dfx_user_dts.bbclass b/meta-xilinx-core/classes/dfx_user_dts.bbclass index 2525a38e..4404aa05 100644 --- a/meta-xilinx-core/classes/dfx_user_dts.bbclass +++ b/meta-xilinx-core/classes/dfx_user_dts.bbclass @@ -41,6 +41,7 @@ python() { dtsi_found = False dtbo_found = False bit_found = False + bin_found = False pdi_found = False # Required Inputs @@ -56,6 +57,10 @@ python() { bit_found = True d.setVar("BIT_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.bit' in a][0].lstrip('file://'))) + if '.bin' in d.getVar("SRC_URI") and soc_family != "versal": + bin_found = True + d.setVar("BIT_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.bin' in a][0].lstrip('file://'))) + if '.pdi' in d.getVar("SRC_URI") and soc_family == "versal": pdi_found = True d.setVar("PDI_PATH",os.path.dirname([a for a in d.getVar('SRC_URI').split() if '.pdi' in a][0].lstrip('file://'))) @@ -63,8 +68,10 @@ python() { # Check for valid combination of input files in SRC_URI if dtsi_found or dtbo_found: bb.debug(2, "dtsi or dtbo found in SRC_URI") - if bit_found or pdi_found: + if bit_found or pdi_found or bin_found: bb.debug(2, "bitstream or pdi found in SRC_URI") + elif bit_found and bin_found: + raise bb.parse.SkipRecipe("Both '.bit' and '.bin' file found in SRC_URI, either .bit or .bin file is supported but not both.") else: raise bb.parse.SkipRecipe("Need one '.bit' or one '.pdi' file added to SRC_URI ") else: @@ -74,8 +81,8 @@ python() { # Following file combinations are not supported use case. # 1. More than one '.dtsi' and zero '.dts' file. # 2. More than one '.dts' and zero or more than one '.dtsi'file . - pattern_dts = re.compile(r'.dts\b') - pattern_dtsi = re.compile(r'.dtsi\b') + pattern_dts = re.compile(r'[.]+dts\b') + pattern_dtsi = re.compile(r'[.]+dtsi\b') dts_count = len([*re.finditer(pattern_dts, d.getVar('SRC_URI'))]) dtsi_count = len([*re.finditer(pattern_dtsi, d.getVar("SRC_URI"))]) @@ -145,35 +152,39 @@ python devicetree_do_compile:append() { import glob, subprocess, shutil soc_family = d.getVar("SOC_FAMILY") - # Convert .bit to bit.bin format only if dtsi is input. - # In case of dtbo as input, bbclass doesn't know if firmware-name is .bit or - # .bit.bin format and corresponding file name. Hence we are not doing - # bit.bin conversion. - if soc_family != 'versal' and glob.glob(d.getVar('S') + '/' + d.getVar('FIRMWARE_NAME_DT_FILE')): - pn = d.getVar('PN') - biffile = pn + '.bif' - - with open(biffile, 'w') as f: - f.write('all:\n{\n\t' + glob.glob(d.getVar('S')+(d.getVar('BIT_PATH') or '') + '/*.bit')[0] + '\n}') - - bootgenargs = ["bootgen"] + (d.getVar("BOOTGEN_FLAGS") or "").split() - bootgenargs += ["-image", biffile, "-o", pn + ".bit.bin"] - subprocess.run(bootgenargs, check = True) - - # In Zynq7k using both "-process_bitstream bin" and "-o" in bootgen flag, - # to convert bit file to bin format, "-o" option will not be effective - # and generated output file name is ${S}+${BIT_PATH}/.bit.bin - # file, Hence we need to rename this file from .bit.bin to - # ${PN}.bit.bin which matches the firmware name in dtbo and move - # ${PN}.bit.bin to ${B} directory. - if soc_family == 'zynq': - src_bitbin_file = glob.glob(d.getVar('S') + (d.getVar('BIT_PATH') or '') + '/*.bit.bin')[0] - dst_bitbin_file = d.getVar('B') + '/' + pn + '.bit.bin' - shutil.move(src_bitbin_file, dst_bitbin_file) - - if not os.path.isfile(pn + ".bit.bin"): - bb.fatal("Couldn't find %s file, Enable '-log trace' in BOOTGEN_FLAGS" \ - "and check bootgen_log.txt" % (d.getVar('B') + '/' + pn + '.bit.bin')) + dtbo_count = sum(1 for f in glob.iglob((d.getVar('S') + '/*.dtbo'),recursive=True) if os.path.isfile(f)) + + # Skip devicetree do_compile task if input file is dtbo in SRC_URI + if not dtbo_count: + # Convert .bit to bit.bin format only if dtsi is input. + # In case of dtbo as input, bbclass doesn't know if firmware-name is .bit or + # .bit.bin format and corresponding file name. Hence we are not doing + # bit.bin conversion. + if soc_family != 'versal' and glob.glob(d.getVar('S') + '/' + d.getVar('FIRMWARE_NAME_DT_FILE')): + pn = d.getVar('PN') + biffile = pn + '.bif' + + with open(biffile, 'w') as f: + f.write('all:\n{\n\t' + glob.glob(d.getVar('S')+(d.getVar('BIT_PATH') or '') + '/*.bit')[0] + '\n}') + + bootgenargs = ["bootgen"] + (d.getVar("BOOTGEN_FLAGS") or "").split() + bootgenargs += ["-image", biffile, "-o", pn + ".bit.bin"] + subprocess.run(bootgenargs, check = True) + + # In Zynq7k using both "-process_bitstream bin" and "-o" in bootgen flag, + # to convert bit file to bin format, "-o" option will not be effective + # and generated output file name is ${S}+${BIT_PATH}/.bit.bin + # file, Hence we need to rename this file from .bit.bin to + # ${PN}.bit.bin which matches the firmware name in dtbo and move + # ${PN}.bit.bin to ${B} directory. + if soc_family == 'zynq': + src_bitbin_file = glob.glob(d.getVar('S') + (d.getVar('BIT_PATH') or '') + '/*.bit.bin')[0] + dst_bitbin_file = d.getVar('B') + '/' + pn + '.bit.bin' + shutil.move(src_bitbin_file, dst_bitbin_file) + + if not os.path.isfile(pn + ".bit.bin"): + bb.fatal("Couldn't find %s file, Enable '-log trace' in BOOTGEN_FLAGS" \ + "and check bootgen_log.txt" % (d.getVar('B') + '/' + pn + '.bit.bin')) } # If user inputs both dtsi and dts files then device-tree will generate dtbo @@ -188,7 +199,7 @@ python find_user_dts_overlay_file() { dtsi_count = get_dt_count(d, 'dtsi') if dtsi_count == 1 and dts_count == 0: dts_file =glob.glob(d.getVar('S')+ (d.getVar('DTSI_PATH') or '') + '/*.dtsi')[0] - elif dtsi_count > 1 and dts_count == 1: + elif dtsi_count >=0 and dts_count == 1: dts_file = glob.glob(d.getVar('S')+ (d.getVar('DTSI_PATH') or '') + '/*.dts')[0] d.setVar('USER_DTS_FILE', os.path.splitext(os.path.basename(dts_file))[0]) @@ -224,16 +235,21 @@ do_install() { bbfatal "A PDI file with '.pdi' expected but not found" fi else - # In case of dtbo as input, .bit or .bit.bin will be copied from directly - # from ${S} without renaming the .bit name to ${PN}.bit.bin - if [ `ls ${S}/*.bit* | wc -l` -eq 1 ] && [ `ls ${S}/*.dtbo | wc -l` -eq 1 ]; then - install -Dm 0644 ${S}/*.bit* ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ - elif [ `ls ${S}/*.bit* | wc -l` -gt 1 ]; then - bbfatal "Multiple bit/bit.bin found, use the right bit/bit.bin in SRC_URI from the following:\n$(basename -a ${S}/*.bit*)" + # In case of dtbo as input, .bit or .bin will be copied from directly + # from ${S} without renaming the .bit/.bin name to ${PN}.bit/${PN}.bin + # if more than one .bit/.bin file is found then fail the task. + if [ `ls ${S}/*.bit | wc -l` -gt 1 ]; then + bbfatal "Multiple .bit found, use the right .bit in SRC_URI from the following:\n$(basename -a ${S}/*.bit)" + elif [ `ls ${S}/*.bin | wc -l` -gt 1 ]; then + bbfatal "Multiple .bin found, use the right .bin in SRC_URI from the following:\n$(basename -a ${S}/*.bin)" + elif [ `ls ${S}/*.bit | wc -l` -eq 1 ] && [ `ls ${S}/*.dtbo | wc -l` -eq 1 ]; then + install -Dm 0644 ${S}/*.bit ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ + elif [ `ls ${S}/*.bin | wc -l` -eq 1 ] && [ `ls ${S}/*.dtbo | wc -l` -eq 1 ]; then + install -Dm 0644 ${S}/*.bin ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/ elif [ -f ${B}/${PN}.bit.bin ] && [ -f ${B}/${USER_DTS_FILE}.dtbo ]; then install -Dm 0644 ${B}/${PN}.bit.bin ${D}/${nonarch_base_libdir}/firmware/xilinx/${PN}/${PN}.bit.bin else - bbfatal "A bitstream file with '.bit' or '.bit.bin' expected but not found" + bbfatal "A bitstream file with '.bit' or '.bin' expected but not found" fi fi -- cgit v1.2.3-54-g00ecf From 268bec019aeae450d1c488d3c4d7c1225a40b9db Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Fri, 18 Aug 2023 12:45:13 +0530 Subject: Updated Commit ID xilinx_mirrors.py: file:// is appending extra Remove k24-kv as it is no longer required Kconfig.part: Update the config string for parse threads Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 29e5377d..55299c65 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 29e5377d4e130f568ade3e6fc2239c6955819d8d +Subproject commit 55299c653ae825cc7cc3986895c224a0f7c9149d -- cgit v1.2.3-54-g00ecf From fdb9cb07d1675295fe1dcb361dc703f89544abd3 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Fri, 18 Aug 2023 12:52:10 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_7635 versal_psmfw: update usage of XPsmFw_Write32 and XPsmFw_RMW32 xilpm: versal: server: Check state of DDRMC in self-refresh operations qspipsu: src: Fix code format issues with checkpatch tool qspipsu: examples: Add status check for XQspiPsu_SetClkPrescaler API qspipsu: Add support for feedback clock lib: sw_apps: openamp: sdt: Ensure parity in build process for OpenAMP apps in VitisNG ThirdParty: sw_services: OpenAMP: sdt: Enable BSP for A72, R52 Proxy information ThirdParty: sw_services: OpenAMP: sdt: Enable BSP build in one-shot lib: sw_apps: libmetal demo: sdt: Add parity with Vitis Classic ThirdParty: sw_services: Libmetal: SDT: Enable BSP support for A53, A72, A78, R52 scripts: pyesw: open-amp: Pass OS to application bram: Fix interrupt example compilation errors in sdt flow Xilsecure: Error out disallowed CPU modes sw_apps: versal_psmfw: Update PSMFW release version sdps: Add description for Adma2_DescrTbl32/64 Revert "uartns550: Add support for peripheral test for uartns550 in SDT flow" Revert "uartpsv: Add support for peripheral test for uartpsv in SDT flow" Revert "iicps: Add support for peripheral test for iicps in SDT flow" Revert "iic: Add support for peripheral test for iic in SDT flow" Revert "uartlite: Add support for peripheral test for uartlite in SDT flow" Revert "uartps: Add support for peripheral tests in SDT flow" Revert "gpio: Disable peripheral test for gpio in SDT flow" Revert "sw_apps: zynq_fsbl: fixed addresses issue in SDT flow" drivers: emacps: Fix the order of clock parameters in _g.c mipicsiss:Updated dependencies.props Updated dependencies.props with rc21008adrv file names scripts: pyesw: create_bsp: Fix the family variable value for microblaze platform axidma: Fix selftest example in yaml file for SDT flow xilpm: versal: server: Update AIE1 memory zeroization routine Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 55fad44c..70fab2fc 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "f17f0ceebe99fb75eea5a04c951bad7027bd9370" +ESW_REV[2023.2] = "75c7c3382a2e2b7ae42f9d8e017ca388b1fe2358" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From bc3ca6fd44cc2d40e720f18ef9005e31a065bc32 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Fri, 18 Aug 2023 16:45:34 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_8175 xilpm: versal_net: skip rpu halt if core is powered down xilpm: versal_net: do not skip core power down versal_psmfw: remove PCIL configuration from requested pwr sequence xilpm: versal_net: execute direct pwr down sequence for rpu sw_apps:versal_plm: Added redundancy for XOcp_KeyInit sdps: Fix code format issues sdps: Reorder XSdPs_FrameCmd and XSdPs_Identify_UhsMode APIs xilocp: Move SWPCR buffers in PCR example to shared memory qspipsu: data: Update yaml to port missing examples xilsecure:Remove TRNG driver from xilsecure library lwip213: Update axi ethernet and dma code to support SDT and non SDT flows lwip213: Update axi emaclite code in lwip adapter to support SDT and non SDT flows sw_apps: srec_spi_bootloader: Update dependent drivers in yaml file lib: sw_services: Update supported_processors list for psx_pmc and psx_psm processors v_hdmitxss1: Added support for VEK280 v_hdmirxss1: Added support for VEK280 Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 70fab2fc..2d409353 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "75c7c3382a2e2b7ae42f9d8e017ca388b1fe2358" +ESW_REV[2023.2] = "ef3d824dc3a05106af750403ebb488aac07ee889" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 6a73350a5047328b5ce8b281a3019ca21ddf2d81 Mon Sep 17 00:00:00 2001 From: Trevor Woerner Date: Thu, 17 Aug 2023 19:37:11 -0400 Subject: machine-xilinx-default.inc: use installed IMAGE_BOOT_FILES IMAGE_BOOT_FILES is a whitespace-separated list of entries specifying files to be installed into the boot partition. Entries can change the installed filename by specifying the destination name after a semicolon (e.g. u-boot.img;uboot). Make sure to use the installed filename when scanning IMAGE_BOOT_FILES if one is provided, otherwise use the specified file as-is. Signed-off-by: Trevor Woerner Signed-off-by: Mark Hatle --- .../machine/include/machine-xilinx-default.inc | 49 ++++++++++++---------- 1 file changed, 27 insertions(+), 22 deletions(-) diff --git a/meta-xilinx-core/conf/machine/include/machine-xilinx-default.inc b/meta-xilinx-core/conf/machine/include/machine-xilinx-default.inc index 16aa1316..e99b1f0e 100644 --- a/meta-xilinx-core/conf/machine/include/machine-xilinx-default.inc +++ b/meta-xilinx-core/conf/machine/include/machine-xilinx-default.inc @@ -64,33 +64,38 @@ FIT_CONF_DEFAULT_DTB ?= "${@os.path.basename(d.getVar('CONFIG_DTFILE')).replace( # Define to avoid parsse errors below if undefind elsewhere INITRAMFS_IMAGE ??= "" +# IMAGE_BOOT_FILES is a whitespace-separated list of entries specifying files to be installed into the boot partition +# entries can change the installed filename by specifying the destination name after a semicolon (e.g. u-boot.img;uboot) +# make sure to use the installed filename when scanning IMAGE_BOOT_FILES +IMAGE_BOOT_FILES_INSTALLED = "${@' '.join([x.split(';')[-1] for x in d.getVar('IMAGE_BOOT_FILES').split()])}" + # Automatically add WKS_FILE_DEPENDS based on the configuration # Initial value from oe-core/meta/classes-recipe/image_types_wic.bbclass WKS_FILE_DEPENDS ?= "${WKS_FILE_DEPENDS_DEFAULT} ${WKS_FILE_DEPENDS_BOOTLOADERS}" -WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'boot.bin', ' virtual/boot-bin', '', d)}" -WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'system.dtb', ' virtual/dtb', '', d)}" -WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'boot.scr', ' u-boot-xlnx-scr', '', d)}" -WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'uEnv.txt', ' u-boot-xlnx-uenv', '', d)}" -WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'atf-uboot.ub', ' arm-trusted-firmware', '', d)}" -WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'Image', ' virtual/kernel', '', d)}" -WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'uImage', ' virtual/kernel', '', d)}" -WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'zImage', ' virtual/kernel', '', d)}" -WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'u-boot.bin', ' virtual/bootloader', '', d)}" -WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'u-boot.elf', ' virtual/bootloader', '', d)}" -WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', '${INITRAMFS_IMAGE}-${MACHINE}.cpio.gz.u-boot', ' ${INITRAMFS_IMAGE}', '', d)}" +WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'boot.bin', ' virtual/boot-bin', '', d)}" +WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'system.dtb', ' virtual/dtb', '', d)}" +WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'boot.scr', ' u-boot-xlnx-scr', '', d)}" +WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'uEnv.txt', ' u-boot-xlnx-uenv', '', d)}" +WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'atf-uboot.ub', ' arm-trusted-firmware', '', d)}" +WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'Image', ' virtual/kernel', '', d)}" +WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'uImage', ' virtual/kernel', '', d)}" +WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'zImage', ' virtual/kernel', '', d)}" +WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'u-boot.bin', ' virtual/bootloader', '', d)}" +WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'u-boot.elf', ' virtual/bootloader', '', d)}" +WKS_FILE_DEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', '${INITRAMFS_IMAGE}-${MACHINE}.cpio.gz.u-boot', ' ${INITRAMFS_IMAGE}', '', d)}" # Automatically add IMAGE_BOOT_FILES to /boot via packages -MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'boot.bin', ' ${PREFERRED_PROVIDER_virtual/boot-bin}', '', d)}" -MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'system.dtb', ' ${PREFERRED_PROVIDER_virtual/dtb}', '', d)}" -MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'boot.scr', ' u-boot-xlnx-scr', '', d)}" -MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'uEnv.txt', ' u-boot-xlnx-uenv', '', d)}" -MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'atf-uboot.ub', ' arm-trusted-firmware', '', d)}" -MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'u-boot.bin', ' ${PREFERRED_PROVIDER_virtual/bootloader}-bin', '', d)}" -MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'u-boot.elf', ' ${PREFERRED_PROVIDER_virtual/bootloader}-elf', '', d)}" -MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'Image', ' kernel-image-image', '', d)}" -MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'uImage', ' kernel-image-uimage', '', d)}" -MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', 'zImage', ' kernel-image-zimage', '', d)}" -MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES', '${INITRAMFS_IMAGE}-${MACHINE}.cpio.gz.u-boot', ' initramdisk-${INITRAMFS_IMAGE}', '', d)}" +MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'boot.bin', ' ${PREFERRED_PROVIDER_virtual/boot-bin}', '', d)}" +MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'system.dtb', ' ${PREFERRED_PROVIDER_virtual/dtb}', '', d)}" +MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'boot.scr', ' u-boot-xlnx-scr', '', d)}" +MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'uEnv.txt', ' u-boot-xlnx-uenv', '', d)}" +MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'atf-uboot.ub', ' arm-trusted-firmware', '', d)}" +MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'u-boot.bin', ' ${PREFERRED_PROVIDER_virtual/bootloader}-bin', '', d)}" +MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'u-boot.elf', ' ${PREFERRED_PROVIDER_virtual/bootloader}-elf', '', d)}" +MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'Image', ' kernel-image-image', '', d)}" +MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'uImage', ' kernel-image-uimage', '', d)}" +MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', 'zImage', ' kernel-image-zimage', '', d)}" +MACHINE_ESSENTIAL_EXTRA_RDEPENDS .= "${@bb.utils.contains('IMAGE_BOOT_FILES_INSTALLED', '${INITRAMFS_IMAGE}-${MACHINE}.cpio.gz.u-boot', ' initramdisk-${INITRAMFS_IMAGE}', '', d)}" # Default SD card wks file, split /boot and / WKS_FILES ?= "xilinx-default-sd.wks" -- cgit v1.2.3-54-g00ecf From 876ad43e6e646106dff5a3e04f719c77ecd2e039 Mon Sep 17 00:00:00 2001 From: "Addepalli, Siva" Date: Fri, 18 Aug 2023 21:24:50 +0530 Subject: u-boot-xlnx : Updated SRCREV for 2023.2_3191 dm: core: ofnode: Change the log message to debug clk: versal: Fix the function versal_clock_ref Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 79cca5f6..806b887e 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "2d10e6530d13a272b7e43c943f5c814a5d304f6a" +SRCREV = "4494d845c4a6d339a29c0cad745b13ddcfd361aa" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From 52753772fbcfb0d39257230abd168a7eff8e6079 Mon Sep 17 00:00:00 2001 From: saumya garg Date: Fri, 18 Aug 2023 15:07:34 +0530 Subject: xrt, zocl: Update commit id Changelog: CR-1142089 - Improvements to AIE graph hierarchy and channel support (#7656) Add support for LSP for use by emacs/eglot (#7665) driver plug-in should support non-DRM based device node (#7664) CR-1170456 Add NOT SUPPORTED string to all ps tests (#7663) EDGEML-5898 Search windows PATH for xbutil directory to find loader (#7662) Force xclbin won't impact if current xclbin in use (#7658) moving to latest petalinux (#7661) Vitis-8819 Elf parsing and patching (#7659) add all reports to a sub-folder (#7651) VITIS-8853 Refactor SubCmdValidate (#7643) Moving to 2023.1 petalinux (#7657) Zocl refactoring : Initial version (#7645) Providing OS Support for RHEL_8_8 and RHEL_9_2 (#7655) Fixing issue with incorrect assumption on system metadata (#7654) Align DMA IP driver (#7653) fixing edge compilation issue when cloned separately (#7650) Move hwctx initializtion of module to xrt::run (#7647) Vitis-8819 First-class execution buffer basic elf support (#7644) Fixed multislot issues (#7631) Changing the mapping of arguments to memory from kernel-based to compute unit based. Also adding support for host memory. (#7640) Templatize internal utility aligned_alloc (#7641) Signed-off-by: saumya garg Add workaround for gitsm failure with this repository. git -c gc.autoDetach=false -c core.pager=cat submodule update --recursive --no-fetch failed with exit code 2 OSError: [Errno 8] Exec format error .../xrt/202320.2.16.0-r0/recipe-sysroot-native/usr/bin/../libexec/git-core/git-submodule: 46: .: Can't open /git-sh-i18n Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 7 ++++++- meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb | 7 ++++--- 2 files changed, 10 insertions(+), 4 deletions(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 28a6e5a8..3f201964 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -3,6 +3,11 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG}" BRANCH= "master" -SRCREV= "c2c9573ed5ca6947c8c665c8bc4aaef9f727e72d" +SRCREV= "7c01ed1181793b3b71bc6cec79119f3f4937a256" PV = "202320.2.16.0" +SRC_URI += "git://github.com/Xilinx/dma_ip_drivers.git;branch=master;name=dma_ip_drivers;destsuffix=git/src/runtime_src/core/pcie/driver/linux/xocl/lib/libqdma;protocol=https" +SRCREV_dma_ip_drivers = "9f02769a2eddde008158c96efa39d7edb6512578" + +SRC_URI += "git://github.com/serge1/ELFIO.git;branch=main;name=ELFIO;destsuffix=git/src/runtime_src/core/common/elf;protocol=https" +SRCREV_ELFIO = "a04810f12625207cce72665d783babb80f0175a8" diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb b/meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb index ae786884..f4e7b5de 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt_git.bb @@ -3,12 +3,13 @@ DESCRIPTION = "Xilinx Runtime User Space Libraries and headers" require xrt.inc -LICENSE = "GPL-2.0-or-later & Apache-2.0" -LIC_FILES_CHKSUM = "file://../LICENSE;md5=da5408f748bce8a9851dac18e66f4bcf \ +LICENSE = "GPL-2.0-or-later & Apache-2.0 & MIT" +LIC_FILES_CHKSUM = "file://../LICENSE;md5=de2c993ac479f02575bcbfb14ef9b485 \ file://runtime_src/core/edge/drm/zocl/LICENSE;md5=7d040f51aae6ac6208de74e88a3795f8 \ file://runtime_src/core/pcie/driver/linux/xocl/LICENSE;md5=b234ee4d69f5fce4486a80fdaf4a4263 \ file://runtime_src/core/pcie/linux/LICENSE;md5=3b83ef96387f14655fc854ddc3c6bd57 \ - file://runtime_src/core/tools/xbutil2/LICENSE;md5=3b83ef96387f14655fc854ddc3c6bd57 " + file://runtime_src/core/tools/xbutil2/LICENSE;md5=3b83ef96387f14655fc854ddc3c6bd57 \ + file://runtime_src/core/common/elf/LICENSE.txt;md5=b996e8b74af169e7e72e22d9e7d05b06 " COMPATIBLE_MACHINE ?= "^$" COMPATIBLE_MACHINE:zynqmp = ".*" -- cgit v1.2.3-54-g00ecf From eaa784f7a4a002a2b9c34f158f405775a3f7da87 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Wed, 16 Aug 2023 13:56:51 -0600 Subject: Various: Update mali support to ignore recipe hash changes MALI_PACKAGE_ARCH depends MACHINE_ARCH depends on MACHINE We can ignore MACHINE_ARCH, as only the resulting value matters, otherwise the package now depends on MACHINE PACKAGE_ARCH can ignore MALI_PACKAGE_ARCH, again only the resulting value of PACKAGE_ARCH matters. Otherwise the value of MALI_PACKAGE_ARCH will be used in the hash, even if the condition is not true. Signed-off-by: Mark Hatle --- .../openembedded-layer/recipes-benchmark/glmark2/glmark2_%.bbappend | 2 ++ meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2023.1.bb | 2 ++ meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2023.2.bb | 2 ++ meta-xilinx-core/recipes-devtools/qemu/qemu_%.bbappend | 2 ++ meta-xilinx-core/recipes-gnome/gtk+/gtk+3_%.bbappend | 2 ++ meta-xilinx-core/recipes-graphics/cairo/cairo_%.bbappend | 2 ++ meta-xilinx-core/recipes-graphics/libepoxy/libepoxy_%.bbappend | 2 ++ meta-xilinx-core/recipes-graphics/libglu/libglu_%.bbappend | 2 ++ meta-xilinx-core/recipes-graphics/libsdl2/libsdl2_%.bbappend | 2 ++ meta-xilinx-core/recipes-graphics/mesa/mesa-demos_%.bbappend | 2 ++ meta-xilinx-core/recipes-graphics/mesa/mesa-gl_%.bbappend | 5 ++++- meta-xilinx-core/recipes-graphics/mesa/mesa_%.bbappend | 5 ++++- .../recipes-graphics/virglrenderer/virglrenderer_%.bbappend | 2 ++ meta-xilinx-core/recipes-graphics/wayland/weston_%.bbappend | 2 ++ .../recipes-graphics/xorg-xserver/xserver-xorg_%.bbappend | 2 ++ meta-xilinx-core/recipes-graphics/xwayland/xwayland_%.bbappend | 2 ++ .../gstreamer/gstreamer1.0-plugins-base_%.bbappend | 2 ++ 17 files changed, 38 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/dynamic-layers/openembedded-layer/recipes-benchmark/glmark2/glmark2_%.bbappend b/meta-xilinx-core/dynamic-layers/openembedded-layer/recipes-benchmark/glmark2/glmark2_%.bbappend index fb36c842..81aa8e9e 100644 --- a/meta-xilinx-core/dynamic-layers/openembedded-layer/recipes-benchmark/glmark2/glmark2_%.bbappend +++ b/meta-xilinx-core/dynamic-layers/openembedded-layer/recipes-benchmark/glmark2/glmark2_%.bbappend @@ -12,6 +12,8 @@ EXTRA_OECONF:append = "${@bb.utils.contains('DISTRO_FEATURES', 'fbdev', ' --with # Links to libmali-xlnx, so it becomes MACHINE_ARCH specific DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" +MALI_PACKAGE_ARCH[vardepsexclude] = "MACHINE_ARCH" MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" +PACKAGE_ARCH[vardepsexclude] = "MALI_PACKAGE_ARCH" PACKAGE_ARCH = "${@bb.utils.contains_any('DEPENDS', 'virtual/libgles1 virtual/libgles2 virtual/egl virtual/libgbm', '${MALI_PACKAGE_ARCH}', '${DEFAULT_PACKAGE_ARCH}', d)}" diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2023.1.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2023.1.bb index 6c1851ba..3b12d161 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2023.1.bb +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2023.1.bb @@ -5,7 +5,9 @@ require qemu-alt.inc # Links to libmali-xlnx, so it becomes MACHINE_ARCH specific DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" +MALI_PACKAGE_ARCH[vardepsexclude] = "MACHINE_ARCH" MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" +PACKAGE_ARCH[vardepsexclude] = "MALI_PACKAGE_ARCH" PACKAGE_ARCH:class-target = "${@bb.utils.contains_any('DEPENDS', 'libepoxy virglrenderer', '${MALI_PACKAGE_ARCH}', '${DEFAULT_PACKAGE_ARCH}', d)}" BBCLASSEXTEND = "nativesdk" diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2023.2.bb index 1947de0f..e79df9d7 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2023.2.bb +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx_2023.2.bb @@ -5,7 +5,9 @@ require qemu-alt.inc # Links to libmali-xlnx, so it becomes MACHINE_ARCH specific DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" +MALI_PACKAGE_ARCH[vardepsexclude] = "MACHINE_ARCH" MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" +PACKAGE_ARCH[vardepsexclude] = "MALI_PACKAGE_ARCH" PACKAGE_ARCH:class-target = "${@bb.utils.contains_any('DEPENDS', 'libepoxy virglrenderer', '${MALI_PACKAGE_ARCH}', '${DEFAULT_PACKAGE_ARCH}', d)}" BBCLASSEXTEND = "nativesdk" diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu_%.bbappend b/meta-xilinx-core/recipes-devtools/qemu/qemu_%.bbappend index 83768622..04c89b83 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu_%.bbappend +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu_%.bbappend @@ -2,5 +2,7 @@ require qemu-alt.inc # Links to libmali-xlnx, so it becomes MACHINE_ARCH specific DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" +MALI_PACKAGE_ARCH[vardepsexclude] = "MACHINE_ARCH" MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" +PACKAGE_ARCH[vardepsexclude] = "MALI_PACKAGE_ARCH" PACKAGE_ARCH:class-target = "${@bb.utils.contains_any('DEPENDS', 'libepoxy virglrenderer', '${MALI_PACKAGE_ARCH}', '${DEFAULT_PACKAGE_ARCH}', d)}" diff --git a/meta-xilinx-core/recipes-gnome/gtk+/gtk+3_%.bbappend b/meta-xilinx-core/recipes-gnome/gtk+/gtk+3_%.bbappend index 83fd7fa6..03823f6e 100644 --- a/meta-xilinx-core/recipes-gnome/gtk+/gtk+3_%.bbappend +++ b/meta-xilinx-core/recipes-gnome/gtk+/gtk+3_%.bbappend @@ -1,4 +1,6 @@ # Links to libmali-xlnx, so it becomes MACHINE_ARCH specific DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" +MALI_PACKAGE_ARCH[vardepsexclude] = "MACHINE_ARCH" MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" +PACKAGE_ARCH[vardepsexclude] = "MALI_PACKAGE_ARCH" PACKAGE_ARCH = "${@bb.utils.contains_any('DEPENDS', 'virtual/libgles1 virtual/libgles2 virtual/egl virtual/libgbm', '${MALI_PACKAGE_ARCH}', '${DEFAULT_PACKAGE_ARCH}', d)}" diff --git a/meta-xilinx-core/recipes-graphics/cairo/cairo_%.bbappend b/meta-xilinx-core/recipes-graphics/cairo/cairo_%.bbappend index 4b8d9935..61b4d1da 100644 --- a/meta-xilinx-core/recipes-graphics/cairo/cairo_%.bbappend +++ b/meta-xilinx-core/recipes-graphics/cairo/cairo_%.bbappend @@ -12,6 +12,8 @@ PACKAGECONFIG = "${@'${PACKAGECONFIG_LIBMALI}' if d.getVar('PREFERRED_PROVIDER_v # Links to libmali-xlnx, so it becomes MACHINE_ARCH specific DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" +MALI_PACKAGE_ARCH[vardepsexclude] = "MACHINE_ARCH" MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" +PACKAGE_ARCH[vardepsexclude] = "MALI_PACKAGE_ARCH" PACKAGE_ARCH = "${@bb.utils.contains_any('DEPENDS', 'virtual/libgles1 virtual/libgles2 virtual/egl virtual/libgbm', '${MALI_PACKAGE_ARCH}', '${DEFAULT_PACKAGE_ARCH}', d)}" diff --git a/meta-xilinx-core/recipes-graphics/libepoxy/libepoxy_%.bbappend b/meta-xilinx-core/recipes-graphics/libepoxy/libepoxy_%.bbappend index 83fd7fa6..03823f6e 100644 --- a/meta-xilinx-core/recipes-graphics/libepoxy/libepoxy_%.bbappend +++ b/meta-xilinx-core/recipes-graphics/libepoxy/libepoxy_%.bbappend @@ -1,4 +1,6 @@ # Links to libmali-xlnx, so it becomes MACHINE_ARCH specific DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" +MALI_PACKAGE_ARCH[vardepsexclude] = "MACHINE_ARCH" MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" +PACKAGE_ARCH[vardepsexclude] = "MALI_PACKAGE_ARCH" PACKAGE_ARCH = "${@bb.utils.contains_any('DEPENDS', 'virtual/libgles1 virtual/libgles2 virtual/egl virtual/libgbm', '${MALI_PACKAGE_ARCH}', '${DEFAULT_PACKAGE_ARCH}', d)}" diff --git a/meta-xilinx-core/recipes-graphics/libglu/libglu_%.bbappend b/meta-xilinx-core/recipes-graphics/libglu/libglu_%.bbappend index 815ec69f..e824a179 100644 --- a/meta-xilinx-core/recipes-graphics/libglu/libglu_%.bbappend +++ b/meta-xilinx-core/recipes-graphics/libglu/libglu_%.bbappend @@ -4,6 +4,8 @@ DEPENDS:append = "${@bb.utils.contains('MACHINE_FEATURES', 'mali400', ' ${MALI_ # Links to libmali-xlnx, so it becomes MACHINE_ARCH specific DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" +MALI_PACKAGE_ARCH[vardepsexclude] = "MACHINE_ARCH" MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" +PACKAGE_ARCH[vardepsexclude] = "MALI_PACKAGE_ARCH" PACKAGE_ARCH = "${@bb.utils.contains_any('DEPENDS', 'virtual/libgles1 virtual/libgles2 virtual/egl virtual/libgbm', '${MALI_PACKAGE_ARCH}', '${DEFAULT_PACKAGE_ARCH}', d)}" diff --git a/meta-xilinx-core/recipes-graphics/libsdl2/libsdl2_%.bbappend b/meta-xilinx-core/recipes-graphics/libsdl2/libsdl2_%.bbappend index 83fd7fa6..03823f6e 100644 --- a/meta-xilinx-core/recipes-graphics/libsdl2/libsdl2_%.bbappend +++ b/meta-xilinx-core/recipes-graphics/libsdl2/libsdl2_%.bbappend @@ -1,4 +1,6 @@ # Links to libmali-xlnx, so it becomes MACHINE_ARCH specific DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" +MALI_PACKAGE_ARCH[vardepsexclude] = "MACHINE_ARCH" MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" +PACKAGE_ARCH[vardepsexclude] = "MALI_PACKAGE_ARCH" PACKAGE_ARCH = "${@bb.utils.contains_any('DEPENDS', 'virtual/libgles1 virtual/libgles2 virtual/egl virtual/libgbm', '${MALI_PACKAGE_ARCH}', '${DEFAULT_PACKAGE_ARCH}', d)}" diff --git a/meta-xilinx-core/recipes-graphics/mesa/mesa-demos_%.bbappend b/meta-xilinx-core/recipes-graphics/mesa/mesa-demos_%.bbappend index b7714a39..5c84f56e 100644 --- a/meta-xilinx-core/recipes-graphics/mesa/mesa-demos_%.bbappend +++ b/meta-xilinx-core/recipes-graphics/mesa/mesa-demos_%.bbappend @@ -10,5 +10,7 @@ DEPENDS += "wayland-protocols" # Links to libmali-xlnx, so it becomes MACHINE_ARCH specific DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" +MALI_PACKAGE_ARCH[vardepsexclude] = "MACHINE_ARCH" MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" +PACKAGE_ARCH[vardepsexclude] = "MALI_PACKAGE_ARCH" PACKAGE_ARCH = "${@bb.utils.contains_any('DEPENDS', 'virtual/libgles1 virtual/libgles2 virtual/egl virtual/libgbm', '${MALI_PACKAGE_ARCH}', '${DEFAULT_PACKAGE_ARCH}', d)}" diff --git a/meta-xilinx-core/recipes-graphics/mesa/mesa-gl_%.bbappend b/meta-xilinx-core/recipes-graphics/mesa/mesa-gl_%.bbappend index d322b480..a8e18a57 100644 --- a/meta-xilinx-core/recipes-graphics/mesa/mesa-gl_%.bbappend +++ b/meta-xilinx-core/recipes-graphics/mesa/mesa-gl_%.bbappend @@ -12,4 +12,7 @@ do_install:append () { # If we require libmali-xlnx, this becomes MACHINE_ARCH specific DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" -PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" +MALI_PACKAGE_ARCH[vardepsexclude] = "MACHINE_ARCH" +MALI_PACKAGE_ARCH = "${MACHINE_ARCH}" +PACKAGE_ARCH[vardepsexclude] = "MALI_PACKAGE_ARCH" +PACKAGE_ARCH = "${@'${MALI_PACKAGE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" diff --git a/meta-xilinx-core/recipes-graphics/mesa/mesa_%.bbappend b/meta-xilinx-core/recipes-graphics/mesa/mesa_%.bbappend index 8c0e7898..d148e679 100644 --- a/meta-xilinx-core/recipes-graphics/mesa/mesa_%.bbappend +++ b/meta-xilinx-core/recipes-graphics/mesa/mesa_%.bbappend @@ -9,4 +9,7 @@ PACKAGECONFIG_MALI = "${@bb.utils.contains('DISTRO_FEATURES', 'libmali', '', 'li PACKAGECONFIG:append:class-target = "${@bb.utils.contains('MACHINE_FEATURES', 'mali400', '${PACKAGECONFIG_MALI}', '', d)}" PACKAGE_ARCH_DEFAULT := "${PACKAGE_ARCH}" -PACKAGE_ARCH = "${@bb.utils.contains('MACHINE_FEATURES', 'mali400', '${MACHINE_ARCH}', '${PACKAGE_ARCH_DEFAULT}', d)}" +MALI_PACKAGE_ARCH[vardepsexclude] = "MACHINE_ARCH" +MALI_PACKAGE_ARCH = "${MACHINE_ARCH}" +PACKAGE_ARCH[vardepsexclude] = "MALI_PACKAGE_ARCH" +PACKAGE_ARCH = "${@bb.utils.contains('MACHINE_FEATURES', 'mali400', '${MALI_PACKAGE_ARCH}', '${PACKAGE_ARCH_DEFAULT}', d)}" diff --git a/meta-xilinx-core/recipes-graphics/virglrenderer/virglrenderer_%.bbappend b/meta-xilinx-core/recipes-graphics/virglrenderer/virglrenderer_%.bbappend index 83fd7fa6..03823f6e 100644 --- a/meta-xilinx-core/recipes-graphics/virglrenderer/virglrenderer_%.bbappend +++ b/meta-xilinx-core/recipes-graphics/virglrenderer/virglrenderer_%.bbappend @@ -1,4 +1,6 @@ # Links to libmali-xlnx, so it becomes MACHINE_ARCH specific DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" +MALI_PACKAGE_ARCH[vardepsexclude] = "MACHINE_ARCH" MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" +PACKAGE_ARCH[vardepsexclude] = "MALI_PACKAGE_ARCH" PACKAGE_ARCH = "${@bb.utils.contains_any('DEPENDS', 'virtual/libgles1 virtual/libgles2 virtual/egl virtual/libgbm', '${MALI_PACKAGE_ARCH}', '${DEFAULT_PACKAGE_ARCH}', d)}" diff --git a/meta-xilinx-core/recipes-graphics/wayland/weston_%.bbappend b/meta-xilinx-core/recipes-graphics/wayland/weston_%.bbappend index edb77fc5..ca77f0d3 100644 --- a/meta-xilinx-core/recipes-graphics/wayland/weston_%.bbappend +++ b/meta-xilinx-core/recipes-graphics/wayland/weston_%.bbappend @@ -11,5 +11,7 @@ PACKAGE_ARCH = "${DEFAULT_PACKAGE_ARCH}" # Links to libmali-xlnx, so it becomes MACHINE_ARCH specific DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" +MALI_PACKAGE_ARCH[vardepsexclude] = "MACHINE_ARCH" MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" +PACKAGE_ARCH[vardepsexclude] = "MALI_PACKAGE_ARCH" PACKAGE_ARCH = "${@bb.utils.contains_any('DEPENDS', 'virtual/libgles1 virtual/libgles2 virtual/egl virtual/libgbm', '${MALI_PACKAGE_ARCH}', '${DEFAULT_PACKAGE_ARCH}', d)}" diff --git a/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg_%.bbappend b/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg_%.bbappend index a9973eff..7486200d 100644 --- a/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg_%.bbappend +++ b/meta-xilinx-core/recipes-graphics/xorg-xserver/xserver-xorg_%.bbappend @@ -1,6 +1,8 @@ # Links to libmali-xlnx, so it becomes MACHINE_ARCH specific DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" +MALI_PACKAGE_ARCH[vardepsexclude] = "MACHINE_ARCH" MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" +PACKAGE_ARCH[vardepsexclude] = "MALI_PACKAGE_ARCH" PACKAGE_ARCH = "${@bb.utils.contains_any('DEPENDS', 'virtual/libgles1 virtual/libgles2 virtual/egl virtual/libgbm', '${MALI_PACKAGE_ARCH}', '${DEFAULT_PACKAGE_ARCH}', d)}" FILESEXTRAPATHS:prepend := "${THISDIR}/${PN}:" diff --git a/meta-xilinx-core/recipes-graphics/xwayland/xwayland_%.bbappend b/meta-xilinx-core/recipes-graphics/xwayland/xwayland_%.bbappend index 4d9963b8..c1005f11 100644 --- a/meta-xilinx-core/recipes-graphics/xwayland/xwayland_%.bbappend +++ b/meta-xilinx-core/recipes-graphics/xwayland/xwayland_%.bbappend @@ -1,5 +1,7 @@ # Links to libmali-xlnx, so it becomes MACHINE_ARCH specific DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" +MALI_PACKAGE_ARCH[vardepsexclude] = "MACHINE_ARCH" MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" +PACKAGE_ARCH[vardepsexclude] = "MALI_PACKAGE_ARCH" PACKAGE_ARCH = "${@bb.utils.contains_any('DEPENDS', 'virtual/libgles1 virtual/libgles2 virtual/egl virtual/libgbm', '${MALI_PACKAGE_ARCH}', '${DEFAULT_PACKAGE_ARCH}', d)}" diff --git a/meta-xilinx-core/recipes-multimedia/gstreamer/gstreamer1.0-plugins-base_%.bbappend b/meta-xilinx-core/recipes-multimedia/gstreamer/gstreamer1.0-plugins-base_%.bbappend index 83fd7fa6..03823f6e 100644 --- a/meta-xilinx-core/recipes-multimedia/gstreamer/gstreamer1.0-plugins-base_%.bbappend +++ b/meta-xilinx-core/recipes-multimedia/gstreamer/gstreamer1.0-plugins-base_%.bbappend @@ -1,4 +1,6 @@ # Links to libmali-xlnx, so it becomes MACHINE_ARCH specific DEFAULT_PACKAGE_ARCH := "${PACKAGE_ARCH}" +MALI_PACKAGE_ARCH[vardepsexclude] = "MACHINE_ARCH" MALI_PACKAGE_ARCH = "${@'${MACHINE_ARCH}' if d.getVar('PREFERRED_PROVIDER_virtual/libgles1') == 'libmali-xlnx' else '${DEFAULT_PACKAGE_ARCH}'}" +PACKAGE_ARCH[vardepsexclude] = "MALI_PACKAGE_ARCH" PACKAGE_ARCH = "${@bb.utils.contains_any('DEPENDS', 'virtual/libgles1 virtual/libgles2 virtual/egl virtual/libgbm', '${MALI_PACKAGE_ARCH}', '${DEFAULT_PACKAGE_ARCH}', d)}" -- cgit v1.2.3-54-g00ecf From e06e900aa5044eeed2846af3c459905a6b581340 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Fri, 18 Aug 2023 11:04:11 -0600 Subject: meta-xilinx-core: conf/layer.conf: Skip xrt in dependency hashing xrt API is stable, but we provide different versions if there is an ai-engine or not. Skip the hash calculations to allow dependent packages to work with both versions. This resolves a problem where xrt w/ and w/o ai-engine support causes vart and thus vitis-ai to rebuild multiple times. Signed-off-by: Mark Hatle --- meta-xilinx-core/conf/layer.conf | 1 + 1 file changed, 1 insertion(+) diff --git a/meta-xilinx-core/conf/layer.conf b/meta-xilinx-core/conf/layer.conf index c1f7bc1d..0bcbf848 100644 --- a/meta-xilinx-core/conf/layer.conf +++ b/meta-xilinx-core/conf/layer.conf @@ -28,6 +28,7 @@ LAYERRECOMMENDS_xilinx = "openembedded-layer" LAYERSERIES_COMPAT_xilinx = "langdale" SIGGEN_EXCLUDE_SAFE_RECIPE_DEPS += " \ + *->xrt \ *->zocl \ *->cairo \ *->libepoxy \ -- cgit v1.2.3-54-g00ecf From c4f4cbc48ee3acb770788bd0b38a84a72e6cd44f Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Sat, 19 Aug 2023 17:49:11 +0000 Subject: meta-xilinx-core: conf/layer.conf: Skip PLNX_SCRIPTS_PATH in hash The PLNX_SCRIPTS_PATH is being embedded into various hashes, but this does not affect the output of the build in any way. Avoid including this various in the hash for recipes. Signed-off-by: Mark Hatle --- meta-xilinx-core/conf/layer.conf | 2 ++ 1 file changed, 2 insertions(+) diff --git a/meta-xilinx-core/conf/layer.conf b/meta-xilinx-core/conf/layer.conf index 0bcbf848..5441fd96 100644 --- a/meta-xilinx-core/conf/layer.conf +++ b/meta-xilinx-core/conf/layer.conf @@ -69,4 +69,6 @@ PREFERRED_VERSION_linux-xlnx ?= "${@d.getVarFlag('XILINX_LINUX_VERSION', d.getVa # Add support to eSDK for gen-machine-conf if it exists PLNX_SCRIPTS_PATH = "${LAYERDIR}/gen-machine-conf/gen-machine-scripts" +BB_HASHEXCLUDE_COMMON:append = " PLNX_SCRIPTS_PATH" + IMAGE_CLASSES += "gen-machine-conf" -- cgit v1.2.3-54-g00ecf From d9d1860dcd94a03ce3398cbd6fc7a71847204b18 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Fri, 18 Aug 2023 16:48:50 -0600 Subject: u-boot-xlnx-scr: Refactor boot scr variables to make it easier to override With the previous version by default xen variables are included in non xen use case, it would have been possible for kernel and devicetree offset can be override from meta-virtualization layer. With the new version add variables as addendum. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb | 18 +++--------------- 1 file changed, 3 insertions(+), 15 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb index 5fe663d2..6410fc25 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr.bb @@ -169,14 +169,8 @@ NAND_FIT_IMAGE_OFFSET ??= "0x4180000" NAND_FIT_IMAGE_OFFSET:zynq ??= "0x1080000" NAND_FIT_IMAGE_SIZE ??= "0x6400000" -# Xen boot script variables. Define here and set it from meta-virtualization -XEN_OFFSET ??= "0xBA00000" -XEN_LOAD_ADDRESS ?= "${@append_baseaddr(d,d.getVar('XEN_OFFSET'))}" -XEN_IMAGE_NAME ??= "xen" -DOM0_MEM ??= "1500M" -XEN_SERIAL_CONSOLES ??= "serial0" -XEN_CMDLINE_APPEND ??= "" -DOM0_MAX_VCPUS ??= "1" +# Add variables as addendum. +SCRIPT_SED_ADDENDUM = "" # Default to booting with the rootfs device being partition 2 for SD/eMMC PARTNUM ?= "2" @@ -254,13 +248,7 @@ do_compile() { -e 's:@@KERNEL_ROOT_SD@@:${KERNEL_ROOT_SD}:' \ -e 's:@@KERNEL_ROOT_RAMDISK@@:${KERNEL_ROOT_RAMDISK}:' \ -e 's:@@KERNEL_COMMAND_APPEND@@:${KERNEL_COMMAND_APPEND}:' \ - -e 's/@@XEN_IMAGE_NAME@@/${XEN_IMAGE_NAME}/' \ - -e 's/@@XEN_OFFSET@@/${XEN_OFFSET}/' \ - -e 's/@@XEN_LOAD_ADDRESS@@/${XEN_LOAD_ADDRESS}/' \ - -e 's/@@DOM0_MEM@@/${DOM0_MEM}/' \ - -e 's/@@DOM0_MAX_VCPUS@@/${DOM0_MAX_VCPUS}/' \ - -e 's:@@XEN_SERIAL_CONSOLES@@:${XEN_SERIAL_CONSOLES}:' \ - -e 's:@@XEN_CMDLINE_APPEND@@:${XEN_CMDLINE_APPEND}:' \ + ${SCRIPT_SED_ADDENDUM} \ "${WORKDIR}/boot.cmd.${BOOTMODE}${BOOTFILE_EXT}" > "${WORKDIR}/boot.cmd" mkimage -A arm -T script -C none -n "Boot script" -d "${WORKDIR}/boot.cmd" boot.scr -- cgit v1.2.3-54-g00ecf From 78386b07ac9c53a35ee14156076376381b98ec4c Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Sun, 20 Aug 2023 09:37:34 -0600 Subject: qemu-xilinx-system-native: Rework pmu-rom dependency The previous implementation did not follow the recommended usage of bb.utils.contains. Additionally we need to exclude the LICENSE_FLAGS_ACCEPTED variable, otherwise unrelated items will affect our recipe hash. This can cause problems with an eSDK where a user may enable or disable unrelated commercial or other licensed software. Signed-off-by: Mark Hatle --- .../recipes-devtools/qemu/qemu-xilinx-system-native_%.bbappend | 7 +++++-- 1 file changed, 5 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_%.bbappend b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_%.bbappend index 91b223b9..7c152d60 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_%.bbappend +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-system-native_%.bbappend @@ -1,2 +1,5 @@ -# Automatically enable pmu-rom-native for ZynqMP support, or warn the user -DEPENDS .= "${@' pmu-rom-native' if 'xilinx' in (d.getVar('LICENSE_FLAGS_ACCEPTED') or '').split() else ''}" +# Automatically enable pmu-rom-native for ZynqMP support +PMU_ROM_DEP[vardepsexclude] = "LICENSE_FLAGS_ACCEPTED" +PMU_ROM_DEP = "${@bb.utils.contains("LICENSE_FLAGS_ACCEPTED", "xilinx", " pmu-rom-native", "", d)}" + +DEPENDS .= "${PMU_ROM_DEP}" -- cgit v1.2.3-54-g00ecf From 3b348382bc15059f271d410c1b9f5775b3b6626c Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 23 Aug 2023 00:01:59 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_2423 drivers: clk: zynqmp: add hack to use old algorithm for divider round rate misc: xilinx-ai-engine: disable clocks for releasing tiles PCI: xilinx-nwl: Increase ECAM size to accommodate 256 buses PCI: xilinx-nwl: Rename ECAM size default macro PCI: xilinx-nwl: Remove unnecessary code which updates primary, secondary and sub-ordinate bus numbers dt-bindings: PCI: xilinx-nwl: Modify ECAM size in example mtd: spi-nor: For Winbond flashes with multiple die check WIP of each die misc: xilinx-ai-engine: Fix dereference of null pointer watchdog: xilinx_wwdt: Add "xlnx,versal-wwdt-1.0" compatible dt-bindings: watchdog: xlnx,versal-wwdt: Add "xlnx,versal-wwdt-1.0" compatible watchdog: of_xilinx_wdt: Revert Versal WWDT support Revert "dt-bindings: watchdog: Add versal support" misc: xilinx-ai-engine: Support to check if DM is exceeded drm: xlnx: hdmi: Remove unused hdmi->wait_for_streamup check in xlnx_hdcp_key_store() drm: xlnx: hdmi: Fix return value in xlnx_hdmi_exec_frl_state_lts3() drm: xlnx: hdmi: In xlnx_hdmi_hdcp_ddc_callback_write() modify ret data type arch: microblaze: kernel: entry.S: Fix race condition in the mb_flush_dcache and mb_invalidate_icache API misc: xilinx-ai-engine: Replace the zeroization calls with ZynqMP PM APIs misc: xilinx-ai-engine: Fix compilation warning drm: xlnx: Enabled CRYPTO_AES library when HDCP is enabled in TX Subsystems Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 8765c1b2..735bfcbc 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "305343f9d8594eb5753413ba16b0e0152d1af68f" +SRCREV = "e5f2fe0cad8e1c51dbb5ea560ebfbb2faf648baf" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 97564efa4d443d6f52b24971ce0a9862e193aa1a Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 22 Aug 2023 06:26:15 -1200 Subject: u-boot-xlnx : Updated SRCREV for 2023.2_4615 arm64:versal_net: Add jedec compatible string for SPI flash spi: spi-uclass: Add dev_read_u32() if reg property is not an array arm64: zynqmp: Update ECAM size to discover up to 256 buses dm: core: ofnode: Change the log message to debug clk: versal: Fix the function versal_clock_ref pinctrl: zynqmp: Add support for output-enable and bias-high-impedance pinctrl: zynqmp: Add version check for TRISTATE configuration firmware: zynqmp: Add support to check feature arm64: versal_net: Disable the lock option for mini ospi and qspi arm64: zynqmp: Disable the lock option for mini qspi arm64: versal: Disable the lock option for mini ospi and qspi mtd: spi-nor: Add spi flash lock config option spi: zynq: Clear flags to get updated value spi: zynqmp_qspi: Clear flag to get updated value Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 806b887e..4243a445 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "4494d845c4a6d339a29c0cad745b13ddcfd361aa" +SRCREV = "f6214e927aa703ee9b7163044085a1c108863afd" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From 1e3d3ee474f8791c61446218c814a2d85fa2eff7 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 23 Aug 2023 11:54:11 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_1719 Revert "sw_services:xilsecure:Fix ECDSA boot failure on qemu" Revert "Xilocp: Move run time error codes to respective library" xilfpga: Fix for doxygen issues sw_services: xilloader: Update SubsystemID in ImageMeasureInfo structure sw_services: xilflash: Handle emc use case in SDT flow emc: Add support for system device-tree flow xilsem: Fix MISRA violations Xilocp: Move run time error codes to respective library v_hdmitxss1: Added aupport for VEK280 v_hdmirxss1: Added support for VEK280 sw_apps: zynq_fsbl: fixed addresses issue in SDT flow video_common: Porting video common driver for decoupling flow. Xilsecure: Fix wrong Hash length input validation xilnvm: Convert Endianness of DME user key before eFuse write sw_services: xilloader: fixed status overwrite sw_services:xilnvm:Remove oring the Status with error code sw_services:xilloader: Remove dead code in XLoader_CheckSecureStateAuth sw_services: xilpdi: fixed supported peripherals xilloader: removed redundant flash size macro xilpm: versal: server: Clear VDU related errors sw_services:xilpuf: Fix passing efuse cache value sw_services:xilpuf: Assign Status to XST_FAILURE sw_services:xilsecure:Fix ECDSA boot failure on qemu xilloader:Secure boot is working in spite of enabling PLM_SECURE_EXCLUDE macro sw_services:xilsecure: Fixed microblaze support for versal client sw_services: xilloader: Run KAT for SHA3 Instance 1 before use sw_services: xilloader: Change prototype of XLoader_ClearKatOnPPDI() sw_services:xilplmi:Security Code Review Fixes sw_services:xilsecure: Intimate user if data context is lost sw_services:xilloader:Security Review Fixes lib: sw_services: xiltimer: Remove unneeded #ifdef check around XilSleepTimer_Init() API sw_services: xilcert: Use SHA3-384 as hash algo in extensions sw_services: xilcert: Fix issue in encoding of Bitstring sw_services: xilcert: Code enhancements sw_services: xilsecure: Fix endianness for public key and shared secret v_hdmirx1: Fix stream VIC id when video format received with HDMI_VIC wdttb: data: Add xwdttb_selftest_example in sdt flow BSP:ARMv8: VersalNet: Configure DDR regions based on HW design sw_services:xilplmi:Enable EMSetAction Support via IPI lwip213: Add API mode checking scripts: pyesw: library_utils: Fix the default cmake param value when template is passed Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 2d409353..50f86501 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "ef3d824dc3a05106af750403ebb488aac07ee889" +ESW_REV[2023.2] = "2ea61903d96d8b717caae0aeb17805276b11676e" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 4e89e34eab54f8cc9300d0bd41842ecae0fe1870 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Tue, 22 Aug 2023 12:47:39 +0530 Subject: Updated SRCREV of vdu-modules for 2023.2_8831 Update driver to xlnx,vdu-2.0 for 2.0 VDU IP Signed-off-by: Siva Addepalli Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb index f9a052aa..308b8eac 100644 --- a/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb @@ -13,7 +13,7 @@ FILESEXTRAPATHS:prepend := "${THISDIR}/files:" BRANCH ?= "master" REPO ?= "git://github.com/Xilinx/vdu-modules.git;protocol=https" -SRCREV ?= "466757f4580611f888567fe7a521bf31c7dc7b36" +SRCREV ?= "4d5134f54006f904f0b28f00e05dd3febd5fcfd3" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG} \ -- cgit v1.2.3-54-g00ecf From b5095dd50554ddff884b5836f4c96d552dac1541 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Thu, 24 Aug 2023 12:45:11 +0530 Subject: Updated Commit ID Kconfig.part: Updated System Architecture as Subsystem Architecture gen_plnx_machine.py: Remove LICENSE_FLAGS_ACCEPTED from plnxtool.conf Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index 55299c65..b98f7351 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit 55299c653ae825cc7cc3986895c224a0f7c9149d +Subproject commit b98f73514d99663c9b5040947c39f6494496384a -- cgit v1.2.3-54-g00ecf From 88a1ce43ccbd06991dda77c40ffd60f3c2cd0daf Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 24 Aug 2023 12:51:39 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_4207 Xilocp: Move run time error codes to respective library Updated changelog for axis_switch to pmufw xilpm: versal: server: Fix XRAM related isolation issues BSP:cortexa9: Fix infinite loop in Xil_DCacheInvalidateRange VersalNet: Remove a78 and r52 static files xilffs: Enable the XILFFS_use_lfn option when EXFAT is enabled xilfpga: Update version info macros xilpki: Implemented new API to get the library version info Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 50f86501..5eb01d8c 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "2ea61903d96d8b717caae0aeb17805276b11676e" +ESW_REV[2023.2] = "badc4e42bbed4af31edf506b47dae664b68e8bd1" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 6e917da2c16c5442cf16735c6751e857fbd0d06e Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 24 Aug 2023 12:45:37 +0530 Subject: arm-trusted-firmware : Updated SRCREV for 2023.2_3455 fix(versal-net): dont clear pending interrupts fix(zynqmp): validate clock_id to avoid OOB variable access Signed-off-by: Siva Addepalli --- .../recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb index 7120d263..26c24498 100644 --- a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb @@ -1,5 +1,5 @@ ATF_VERSION = "2.8" -SRCREV = "8fa10866141b488d3c257174c1cbc052aec59fac" +SRCREV = "1ce681f023ba57a16c72538ee124a106cc4b3988" BRANCH = "xlnx_rebase_v2.8" LIC_FILES_CHKSUM = "file://license.rst;md5=1dd070c98a281d18d9eefd938729b031" -- cgit v1.2.3-54-g00ecf From 3fc691d7072e42197e94d7168db9fdf37d45c328 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 24 Aug 2023 17:04:04 +0530 Subject: u-boot-xlnx : Updated SRCREV for 2023.2_3579 arm64: zynqmp: Enable the config CMD_KASLRSEED Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 4243a445..87839254 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "f6214e927aa703ee9b7163044085a1c108863afd" +SRCREV = "ce3aa5cdb1b01239466028eabf918e3e0ba1d529" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From 869cf54c5533dbfa12c44749d61cbd9f28756ae8 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 24 Aug 2023 17:09:25 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_2991 lib: sw_apps: Add missing xiltimer library in the link libraries scripts: pyesw: library_utils: Fix bsp creation issues with rfdc drivers scripts: pyesw: library_utils: Add __BAREMETAL__ compiler definition for libmetal drivers scripts: pyesw: In link libraries add speical handling for libmetal sw_services:xilloader: Place ECDSA in reset when PLM_ECDSA_EXCLUDE is not defined uartns550: Add support for peripheral test for uartns550 in SDT flow uartpsv: Add support for peripheral test for uartpsv in SDT flow iicps: Add support for peripheral test for iicps in SDT flow iic: Add support for peripheral test for iic in SDT flow uartlite: Add support for peripheral test for uartlite in SDT flow uartps: Add support for peripheral tests in SDT flow gpio: Disable peripheral test for gpio in SDT flow Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 5eb01d8c..1aab7ecd 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "badc4e42bbed4af31edf506b47dae664b68e8bd1" +ESW_REV[2023.2] = "ae08eb195a9cfe0c2797f1dce5d80832429b845b" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 5bdc407740b2fdb42b5f2f10bfd99389b6ed8fb2 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 24 Aug 2023 19:10:09 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_8643 arm64: zynqmp: Update ECAM size to discover up to 256 buses arm64:versal_net: Add jedec compatible string for SPI flash Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 735bfcbc..b47ded76 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "e5f2fe0cad8e1c51dbb5ea560ebfbb2faf648baf" +SRCREV = "67bd76aec107e48211da67940496102cd25d5eb9" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From eff60bcd701a221004c6fd7f76f9f8e95d2efef4 Mon Sep 17 00:00:00 2001 From: saumya garg Date: Thu, 24 Aug 2023 11:23:56 +0530 Subject: xrt, zocl: Update commit id Changelog: CR-1172341 XRT Test Code fails with 202320.2.16.175 packages (#7667) Add missing xrtErrorModule enums (#7666) Signed-off-by: saumya garg Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 3f201964..319b804a 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -3,7 +3,7 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG}" BRANCH= "master" -SRCREV= "7c01ed1181793b3b71bc6cec79119f3f4937a256" +SRCREV= "eba10b68e5704a0070da1d7a958fdc11f58c3721" PV = "202320.2.16.0" SRC_URI += "git://github.com/Xilinx/dma_ip_drivers.git;branch=master;name=dma_ip_drivers;destsuffix=git/src/runtime_src/core/pcie/driver/linux/xocl/lib/libqdma;protocol=https" -- cgit v1.2.3-54-g00ecf From 5cd24821a9e9458992accee74a197b1f71f003dd Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 25 Aug 2023 14:09:12 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_2927 Xilsecure: Fix XSecure_TrngGenerateRandNum() buffer overflow sw_services: Return XST_SUCCESS if KAT for SHA3 Engine 1 has already run sw_services: xilpm: Add STL callback after INIT_FINISH Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 1aab7ecd..9e974f2a 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "ae08eb195a9cfe0c2797f1dce5d80832429b845b" +ESW_REV[2023.2] = "dff6f52ab5d761bad06e0abc8db6fb39d57089f4" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From b3cbaa69faa4b8465a0c01fea7f4a513383de916 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 28 Aug 2023 15:24:08 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_2171 dt-bindings: power: xilinx: merge zynqmp-genpd.txt with firmware binding Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index b47ded76..ce505895 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "67bd76aec107e48211da67940496102cd25d5eb9" +SRCREV = "5cfa8a2ffb8ecdb3388280bf95dd82349e26a5fb" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From c28bad1704cd556aae229a04ad6101b1c07a9b69 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 29 Aug 2023 16:35:43 +0530 Subject: aie-rt : Updated SRCREV for 2023.2_3691 driver: src: global: Correct documentation driver: src: Fixed MisraC mandatory violations driver: src: rsc: Fix resource (file descriptor) leak Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 53b667cf..4d4f6afd 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "main-aie" -SRCREV ?= "83c84d3c9617f1b9d71bdcbf6e89b2794f749c78" +SRCREV ?= "c4b61e22a59b72ea40a8ff8bf1b75e321e58682f" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=04a153cae61a8a606fc79dff49c2c897" -- cgit v1.2.3-54-g00ecf From 305d24efd1e5f50112282ac3be39ea43e8d7b645 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 29 Aug 2023 16:35:18 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_5843 updated changelog for axiethernet and xilflash sw_services:xilloader: Remove check to validate MH secure state with PLM video_common: Add support for HF-SCDB in EDID parser Updated changelog for ospipsv and bram Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 9e974f2a..47b1e5dd 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "dff6f52ab5d761bad06e0abc8db6fb39d57089f4" +ESW_REV[2023.2] = "8070822a60c8a6e869522e9febd72ed7b188358d" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 738a753daed0d4541fcfc6c9e418a095de47084c Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 29 Aug 2023 18:11:32 +0100 Subject: libmali-xlnx: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-graphics/libgles/libmali-xlnx.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-graphics/libgles/libmali-xlnx.bb b/meta-xilinx-core/recipes-graphics/libgles/libmali-xlnx.bb index 07de26dc..db99c4d7 100644 --- a/meta-xilinx-core/recipes-graphics/libgles/libmali-xlnx.bb +++ b/meta-xilinx-core/recipes-graphics/libgles/libmali-xlnx.bb @@ -13,7 +13,7 @@ PROVIDES += "virtual/libgles1 virtual/libgles2 virtual/egl virtual/libgbm" FILESEXTRAPATHS:prepend := "${THISDIR}/files:" REPO ?= "git://github.com/Xilinx/mali-userspace-binaries.git;protocol=https" -BRANCH ?= "xlnx_rel_v2023.1" +BRANCH ?= "xlnx_rel_v2023.2" SRCREV ?= "b3a772aad859cdadc8513b11c3e995546c20e75e" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" -- cgit v1.2.3-54-g00ecf From 06827f70486713b2af8a4ed30769ab59fcf0fba1 Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 29 Aug 2023 18:11:33 +0100 Subject: ai-engine-driver: Update branch to xlnx_rel_v2023.2 Changelog: driver: src: global: Correct documentation driver: src: Fixed MisraC mandatory violations driver: src: rsc: Fix resource (file descriptor) leak Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 4d4f6afd..70eadd74 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -2,8 +2,8 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" -BRANCH ?= "main-aie" -SRCREV ?= "c4b61e22a59b72ea40a8ff8bf1b75e321e58682f" +BRANCH ?= "xlnx_rel_v2023.2" +SRCREV ?= "83c84d3c9617f1b9d71bdcbf6e89b2794f749c78" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=04a153cae61a8a606fc79dff49c2c897" -- cgit v1.2.3-54-g00ecf From 4bd4efa2730e7a48c1b448413fc673f0622d3db2 Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 29 Aug 2023 18:11:34 +0100 Subject: dfx-mgr: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb b/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb index fd808d50..23057050 100644 --- a/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb @@ -8,7 +8,7 @@ REPO ?= "git://github.com/Xilinx/dfx-mgr.git;protocol=https" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" -BRANCH = "master" +BRANCH = "xlnx_rel_v2023.2" SRCREV = "d78eac47f17bca4326a4540ff5d2ebea7d9c45ed" SOMAJOR = "1" SOMINOR = "0" -- cgit v1.2.3-54-g00ecf From a3eb6c1132cfeae1ee663db7a89fe18bf4b6c195 Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 29 Aug 2023 18:11:35 +0100 Subject: libdfx: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/libdfx/libdfx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/libdfx/libdfx_2023.2.bb b/meta-xilinx-core/recipes-bsp/libdfx/libdfx_2023.2.bb index 11ad0268..b3ccb884 100644 --- a/meta-xilinx-core/recipes-bsp/libdfx/libdfx_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/libdfx/libdfx_2023.2.bb @@ -4,7 +4,7 @@ DESCRIPTION = "Xilinx libdfx Library and headers" LICENSE = "MIT & GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://LICENSE.md;md5=94aba86aec117f003b958a52f019f1a7" -BRANCH ?= "master" +BRANCH ?= "xlnx_rel_v2023.2" REPO ?= "git://github.com/Xilinx/libdfx.git;protocol=https" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" -- cgit v1.2.3-54-g00ecf From d95c0802ba95d4f2a1d491c4a9a81dde46dc8a6b Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 29 Aug 2023 18:11:36 +0100 Subject: kernel-module-dp: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_2023.2.bb b/meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_2023.2.bb index 7849cc93..d1c6bd3d 100644 --- a/meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/dp/kernel-module-dp_2023.2.bb @@ -9,7 +9,7 @@ PV = "${XLNX_DP_VERSION}+xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', Fal S = "${WORKDIR}/git" -BRANCH ?= "master" +BRANCH ?= "xlnx_rel_v2023.2" REPO ?= "git://github.com/xilinx/dp-modules.git;protocol=https" SRCREV ?= "5b0969ac09f301c33bccc140c8f60e832f5cf222" -- cgit v1.2.3-54-g00ecf From 47207d37f8813ad334d4d00745441e28619f33e3 Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 29 Aug 2023 18:11:37 +0100 Subject: kernel-module-hdmi: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.2.bb b/meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.2.bb index eba5d465..bc89f5f3 100644 --- a/meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/hdmi/kernel-module-hdmi_2023.2.bb @@ -9,7 +9,7 @@ PV = "${XLNX_HDMI_VERSION}+xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', F S = "${WORKDIR}/git" -BRANCH ?= "master" +BRANCH ?= "xlnx_rel_v2023.2" REPO ?= "git://github.com/Xilinx/hdmi-modules.git;protocol=https" SRCREV = "82209b0021a7b5d7ef71a859eed4bafeb541ed08" -- cgit v1.2.3-54-g00ecf From 282fa478341a66f4d114c5aabd3799a974ba7ec2 Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 29 Aug 2023 18:11:38 +0100 Subject: vdu-firmware: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb index 7595c082..4deb1f51 100755 --- a/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb @@ -13,7 +13,7 @@ inherit autotools features_check REQUIRED_MACHINE_FEATURES = "vdu" -BRANCH ?= "master" +BRANCH ?= "xlnx_rel_v2023.2" REPO ?= "git://github.com/Xilinx/vdu-firmware.git;protocol=https" SRCREV ?= "63fe2fce6e46d5bf03e33300a58a37d8568722ee" -- cgit v1.2.3-54-g00ecf From eecf6e157465d2a6bc4a2231bbc701113700451d Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 29 Aug 2023 18:11:39 +0100 Subject: libvdu-ctrlsw: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb index 19db2456..2b9af94f 100644 --- a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-ctrlsw_2023.2.bb @@ -11,7 +11,7 @@ inherit autotools features_check REQUIRED_MACHINE_FEATURES = "vdu" -BRANCH ?= "master" +BRANCH ?= "xlnx_rel_v2023.2" REPO ?= "git://github.com/Xilinx/vdu-ctrl-sw.git;protocol=https" SRCREV ?= "1beb8f247d01b1a728faea36ce8f7847c895482f" -- cgit v1.2.3-54-g00ecf From 4a577ccb72d6ddf7139d73042e7aaf951fe625fa Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 29 Aug 2023 18:11:40 +0100 Subject: kernel-module-vdu: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb index 308b8eac..1c9ba8ad 100644 --- a/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/kernel-module-vdu_2023.2.bb @@ -11,7 +11,7 @@ PV .= "+git${SRCPV}" S = "${WORKDIR}/git" FILESEXTRAPATHS:prepend := "${THISDIR}/files:" -BRANCH ?= "master" +BRANCH ?= "xlnx_rel_v2023.2" REPO ?= "git://github.com/Xilinx/vdu-modules.git;protocol=https" SRCREV ?= "4d5134f54006f904f0b28f00e05dd3febd5fcfd3" -- cgit v1.2.3-54-g00ecf From d2d45fb168abb4cde1581868dcc9d6ab69aee824 Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 29 Aug 2023 18:11:41 +0100 Subject: libomxil-xlnx: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb index 80d0155e..2c77e2b4 100644 --- a/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vcu/libomxil-xlnx_2023.2.bb @@ -6,7 +6,7 @@ LIC_FILES_CHKSUM = "file://LICENSE.md;md5=ef69c2bb405668101824f0b644631e2e" XILINX_VCU_VERSION = "1.0.0" PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}" -BRANCH ?= "master" +BRANCH ?= "xlnx_rel_v2023.2" REPO ?= "git://github.com/Xilinx/vcu-omx-il.git;protocol=https" SRCREV = "3a04b5adc661a0eced626c1373dbbfe699ae6fe0" -- cgit v1.2.3-54-g00ecf From e2ecb990b1b5e09e7311dc8b2cc051d6b917c1e4 Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 29 Aug 2023 18:11:42 +0100 Subject: kernel-module-vcu: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb index 7f2b205f..e8bd3397 100644 --- a/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vcu/kernel-module-vcu_2023.2.bb @@ -11,7 +11,7 @@ S = "${WORKDIR}/git" FILESEXTRAPATHS:prepend := "${THISDIR}/files:" -BRANCH = "master" +BRANCH = "xlnx_rel_v2023.2" REPO = "git://github.com/Xilinx/vcu-modules.git;protocol=https" SRCREV = "689c8d823b383e2a8a5249be49de627f866cfaf2" -- cgit v1.2.3-54-g00ecf From e6e2958643409e6c09f131114853ff8d5f027869 Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 29 Aug 2023 18:11:43 +0100 Subject: libvcu-xlnx: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb index fdadca3f..e6d38a4a 100644 --- a/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vcu/libvcu-xlnx_2023.2.bb @@ -6,7 +6,7 @@ LIC_FILES_CHKSUM = "file://LICENSE.md;md5=ef69c2bb405668101824f0b644631e2e" XILINX_VCU_VERSION = "1.0.0" PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or ''}+git${SRCPV}" -BRANCH ?= "master" +BRANCH ?= "xlnx_rel_v2023.2" REPO ?= "git://github.com/Xilinx/vcu-ctrl-sw.git;protocol=https" SRCREV = "84b0856cad7844d69f57ac4d9447c20930875475" -- cgit v1.2.3-54-g00ecf From 9a5f2b17e66c5ac9be09c70e4004587987f2d778 Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 29 Aug 2023 18:11:44 +0100 Subject: vcu-firmware: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb index 4ab139fc..b4cb66df 100644 --- a/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vcu/vcu-firmware_2023.2.bb @@ -8,7 +8,7 @@ PV = "${XILINX_VCU_VERSION}-xilinx-v${@bb.parse.vars_from_file(d.getVar('FILE', S = "${WORKDIR}/git" -BRANCH ?= "master" +BRANCH ?= "xlnx_rel_v2023.2" REPO ?= "git://github.com/Xilinx/vcu-firmware.git;protocol=https" SRCREV = "f4ab98d26aa3e244a487f518f5a76071137c8402" -- cgit v1.2.3-54-g00ecf From e504824f03f591b3a557d00f035cbd7418ab1449 Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 29 Aug 2023 18:11:45 +0100 Subject: open-amp-xlnx: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- .../openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb index 0392bd86..eba124d9 100644 --- a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb @@ -1,6 +1,6 @@ SRCBRANCH ?= "2023.2" SRCREV = "e95b02aef72a21039b1d109087788d4675475813" -BRANCH = "2023" +BRANCH = "xlnx_rel_v2023.2" LIC_FILES_CHKSUM ?= "file://LICENSE.md;md5=ab88daf995c0bd0071c2e1e55f3d3505" PV = "${SRCBRANCH}+git${SRCPV}" REPO = "git://github.com/Xilinx/open-amp.git;protocol=https" -- cgit v1.2.3-54-g00ecf From ba4a08aa95e19c71ec0eba26da2f9de23b9027df Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 29 Aug 2023 18:11:46 +0100 Subject: libmetal-xlnx: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- .../openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.2.bb b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.2.bb index 057c1ef8..7a5dc70e 100644 --- a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.2.bb +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.2.bb @@ -1,6 +1,6 @@ SRCBRANCH ?= "2023.2" SRCREV = "be635252271de342014a146825870b64bd41d6eb" -BRANCH = "2023" +BRANCH = "xlnx_rel_v2023.2" LIC_FILES_CHKSUM ?= "file://LICENSE.md;md5=f4d5df0f12dcea1b1a0124219c0dbab4" PV = "${SRCBRANCH}+git${SRCPV}" -- cgit v1.2.3-54-g00ecf From 536345748dc90512b7e6c250cc147c556198a106 Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 29 Aug 2023 18:11:47 +0100 Subject: qemu-xlnx: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc index 15201959..d3effa9a 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc @@ -1,3 +1,3 @@ XILINX_QEMU_VERSION = "v7.1.0" -BRANCH = "master" +BRANCH = "xlnx_rel_v2023.2" SRCREV = "5b17802c28879d2150df5ea16d8719aab3ee26a0" -- cgit v1.2.3-54-g00ecf From 9b9f5c0a0f03ce7fc53dee9dfe66a522ffe4687e Mon Sep 17 00:00:00 2001 From: John Toomey Date: Tue, 29 Aug 2023 18:11:48 +0100 Subject: qemu-devicetrees: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: John Toomey Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb index 5db8c0b9..f6791406 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb @@ -1,6 +1,6 @@ require qemu-devicetrees.inc -BRANCH ?= "master" +BRANCH ?= "xlnx_rel_v2023.2" SRCREV ?= "86b1a621919f2fb27e5ef4120fcacde67d43368d" -- cgit v1.2.3-54-g00ecf From 979bb9939a8628752b9c9f37ca191a5478c923d5 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Tue, 29 Aug 2023 15:17:47 -0500 Subject: gen-machine-conf: Move to release branch Signed-off-by: Mark Hatle --- .gitmodules | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.gitmodules b/.gitmodules index 23c05b53..017e758a 100644 --- a/.gitmodules +++ b/.gitmodules @@ -1,4 +1,4 @@ [submodule "gen-machine-conf"] path = meta-xilinx-core/gen-machine-conf url = https://gitenterprise.xilinx.com/Petalinux/gen-machine-conf.git - branch = master + branch = xlnx_rel_v2023.2 -- cgit v1.2.3-54-g00ecf From 6e4cb5e2fdf3007c2841d22bc4019c111332a4ec Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Tue, 29 Aug 2023 17:08:45 -0500 Subject: bootgen: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: Mark Hatle --- .../recipes-bsp/bootgen/bootgen_2023.2.bb | 31 ++++++++++++++++++++++ 1 file changed, 31 insertions(+) create mode 100644 meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.2.bb diff --git a/meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.2.bb b/meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.2.bb new file mode 100644 index 00000000..cd4b1e36 --- /dev/null +++ b/meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.2.bb @@ -0,0 +1,31 @@ +SUMMARY = "Building and installing bootgen" +DESCRIPTION = "Building and installing bootgen, a Xilinx tool that lets you stitch binary files together and generate device boot images" + +LICENSE = "Apache-2.0" +LIC_FILES_CHKSUM = "file://LICENSE;md5=d526b6d0807bf263b97da1da876f39b1" + +S = "${WORKDIR}/git" + +DEPENDS += "openssl" +RDEPENDS:${PN} += "openssl" + +REPO ?= "git://github.com/Xilinx/bootgen.git;protocol=https" +BRANCH = "xlnx_rel_v2023.2" +SRCREV = "4f1e1caf2c09cdeacc35cbeedaf2550c6e44c7fd" + +BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" +SRC_URI = "${REPO};${BRANCHARG}" + +EXTRA_OEMAKE += 'CROSS_COMPILER="${CXX}" -C ${S}' +CXXFLAGS:append = " -std=c++0x" + +TARGET_CC_ARCH += "${LDFLAGS}" + +do_install() { + install -d ${D}${bindir} + install -Dm 0755 ${S}/bootgen ${D}${bindir} +} + +FILES:${PN} = "${bindir}/bootgen" + +BBCLASSEXTEND = "native nativesdk" -- cgit v1.2.3-54-g00ecf From 129277a87654489e1d529946634419b503283b62 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Tue, 29 Aug 2023 17:10:58 -0500 Subject: libvdu-omxil: Update branch to xlnx_rel_v2023.2 Changelog: (none) Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb index 466153d1..f6f159d2 100644 --- a/meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/libvdu-omxil_2023.2.bb @@ -7,7 +7,7 @@ XILINX_VDU_VERSION = "1.0.0" PV =. "${XILINX_VDU_VERSION}-xilinx-v" PV .= "+git${SRCPV}" -BRANCH ?= "master" +BRANCH ?= "xlnx_rel_v2023.2" REPO ?= "git://github.com/Xilinx/vdu-omx-il.git;protocol=https" SRCREV ?= "811eefac953fd5e098c69cada97a0dd35f5e9015" -- cgit v1.2.3-54-g00ecf From 3aeb950696276c9f797ae6efc9642ec94155e56a Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 31 Aug 2023 13:09:23 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_2975 xilpm: versal_net: fix force power down issue xilpm: versal_net: fix suspend resume issue xilpm: versal_net: Add DDRMC5 bisr support sw_services: xilpuf: Declare variables that are passed to server in data section sw_services:xilloader:Added Redundancy for KekSrc sw_apps:versal_plm:EAM Error Check After PMC CDO sw_services:xilplmi:Security Review Fixes iicps: Add SDT flow support for xiicps_eeprom_intr_example iicps: Update Receive Polled and Interrupt Handler functions as modular gpiops: Add support for pmc, versal and versal-net in sdt flow Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 47b1e5dd..a2279f07 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "8070822a60c8a6e869522e9febd72ed7b188358d" +ESW_REV[2023.2] = "6ccd026d115ed986ccb1541c62dc7dac21bf2ab8" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From cb09236e7367a445e6ba224286944701509cbe19 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 31 Aug 2023 13:09:48 +0530 Subject: aie-rt : Updated SRCREV for 2023.2_1763 driver: src: global: Correct documentation driver: src: Fixed MisraC mandatory violations driver: src: rsc: Fix resource (file descriptor) leak Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 70eadd74..38bb7f9f 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "xlnx_rel_v2023.2" -SRCREV ?= "83c84d3c9617f1b9d71bdcbf6e89b2794f749c78" +SRCREV ?= "c4b61e22a59b72ea40a8ff8bf1b75e321e58682f" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=04a153cae61a8a606fc79dff49c2c897" -- cgit v1.2.3-54-g00ecf From 69ad019bfcd5dfb063165592d4751b76d8c872a5 Mon Sep 17 00:00:00 2001 From: saumya garg Date: Tue, 29 Aug 2023 17:56:30 +0530 Subject: xrt, zocl: Update commit id Changelog: Fix for CR-1170857, CR-1114740, CR-1114732 (#7669) Including Install Instructions for RHEL 9.x (#7672) Moving to petalinux version petalinux-v2023.2_08251450 (#7680) Fixed CR-1160856 (#7668) moving to latest petalinux (#7673) Update petalinux.build to stable version (#7677) Signed-off-by: saumya garg Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 319b804a..08ad0f77 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -3,7 +3,7 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG}" BRANCH= "master" -SRCREV= "eba10b68e5704a0070da1d7a958fdc11f58c3721" +SRCREV= "ff10e98baa0538aa6014fda44631f4c35fc577e0" PV = "202320.2.16.0" SRC_URI += "git://github.com/Xilinx/dma_ip_drivers.git;branch=master;name=dma_ip_drivers;destsuffix=git/src/runtime_src/core/pcie/driver/linux/xocl/lib/libqdma;protocol=https" -- cgit v1.2.3-54-g00ecf From 056045a31f59472bdce0ff6357e457fa7c7c0038 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Wed, 30 Aug 2023 15:23:01 -0500 Subject: versal-net-generic: Make it clear versal-net is not supported in 2023.2 Signed-off-by: Mark Hatle --- meta-xilinx-core/conf/machine/versal-net-generic.conf | 2 ++ 1 file changed, 2 insertions(+) diff --git a/meta-xilinx-core/conf/machine/versal-net-generic.conf b/meta-xilinx-core/conf/machine/versal-net-generic.conf index 4b368e83..e1f222e2 100644 --- a/meta-xilinx-core/conf/machine/versal-net-generic.conf +++ b/meta-xilinx-core/conf/machine/versal-net-generic.conf @@ -1,3 +1,5 @@ +XILINX_DEPRECATED[versal-net] = "Versal-net is not supported in 2023.2" + #@TYPE: Machine #@NAME: versal-net-generic #@DESCRIPTION: Machine configuration for the versal-net-generic devices -- cgit v1.2.3-54-g00ecf From 6182a945ac9d53e0b90a69b100e1d8d208654dcb Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Wed, 30 Aug 2023 16:14:27 -0600 Subject: meta-xilinx-bsp:machine: Use ATF_CONSOLE instead of ATF_CONSOLE_DEFAULT In arm-trusted-firmware recipe, ATF_CONSOLE_DEFAULT variable has override and setting this variable value from local.conf and machine.conf will not be effective during variable pre-expansion values. Hence use ATF_CONSOLE instead of ATF_CONSOLE_DEFAULT in machine conf files. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-bsp/conf/machine/vck190-versal.conf | 2 +- meta-xilinx-bsp/conf/machine/vck5000-versal.conf | 2 +- meta-xilinx-bsp/conf/machine/vek280-versal.conf | 2 +- meta-xilinx-bsp/conf/machine/vhk158-versal.conf | 2 +- meta-xilinx-bsp/conf/machine/vmk180-versal.conf | 2 +- meta-xilinx-bsp/conf/machine/vpk120-versal.conf | 2 +- meta-xilinx-bsp/conf/machine/vpk180-versal.conf | 2 +- meta-xilinx-bsp/conf/machine/zcu102-zynqmp.conf | 2 +- meta-xilinx-bsp/conf/machine/zcu104-zynqmp.conf | 2 +- meta-xilinx-bsp/conf/machine/zcu106-zynqmp.conf | 2 +- meta-xilinx-bsp/conf/machine/zcu111-zynqmp.conf | 2 +- meta-xilinx-bsp/conf/machine/zcu208-zynqmp.conf | 2 +- meta-xilinx-bsp/conf/machine/zcu216-zynqmp.conf | 2 +- meta-xilinx-bsp/conf/machine/zcu670-zynqmp.conf | 2 +- 14 files changed, 14 insertions(+), 14 deletions(-) diff --git a/meta-xilinx-bsp/conf/machine/vck190-versal.conf b/meta-xilinx-bsp/conf/machine/vck190-versal.conf index db5d0a95..ed049268 100644 --- a/meta-xilinx-bsp/conf/machine/vck190-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vck190-versal.conf @@ -15,7 +15,7 @@ YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree ?= "CIPS_0_pspmc_0_psv_sbsauart_0" YAML_DT_BOARD_FLAGS ?= "{BOARD versal-vck190-reva-x-ebm-01-reva}" # Yocto arm-trusted-firmware(TF-A) variables -ATF_CONSOLE_DEFAULT ?= "pl011" +ATF_CONSOLE ?= "pl011" TFA_BL33_LOAD ?= "0x8000000" # Yocto PLM variables diff --git a/meta-xilinx-bsp/conf/machine/vck5000-versal.conf b/meta-xilinx-bsp/conf/machine/vck5000-versal.conf index 975cde93..9396bd97 100644 --- a/meta-xilinx-bsp/conf/machine/vck5000-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vck5000-versal.conf @@ -15,7 +15,7 @@ YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree ?= "versal_cips_0_pspmc_0_psv_sbsauart YAML_DT_BOARD_FLAGS ?= "{BOARD template}" # Yocto arm-trusted-firmware(TF-A) variables -ATF_CONSOLE_DEFAULT ?= "pl011" +ATF_CONSOLE ?= "pl011" TFA_BL33_LOAD ?= "0x8000000" # Yocto PLM variables diff --git a/meta-xilinx-bsp/conf/machine/vek280-versal.conf b/meta-xilinx-bsp/conf/machine/vek280-versal.conf index 75723946..625cbca1 100644 --- a/meta-xilinx-bsp/conf/machine/vek280-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vek280-versal.conf @@ -15,7 +15,7 @@ YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree ?= "CIPS_0_pspmc_0_psv_sbsauart_0" YAML_DT_BOARD_FLAGS ?= "{BOARD versal-vek280-revb}" # Yocto arm-trusted-firmware(TF-A) variables -ATF_CONSOLE_DEFAULT ?= "pl011" +ATF_CONSOLE ?= "pl011" TFA_BL33_LOAD ?= "0x8000000" # Yocto PLM variables diff --git a/meta-xilinx-bsp/conf/machine/vhk158-versal.conf b/meta-xilinx-bsp/conf/machine/vhk158-versal.conf index e22f264c..b09bde28 100644 --- a/meta-xilinx-bsp/conf/machine/vhk158-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vhk158-versal.conf @@ -15,7 +15,7 @@ YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree ?= "versal_cips_0_pspmc_0_psv_sbsauart YAML_DT_BOARD_FLAGS ?= "{BOARD versal-vhk158-reva}" # Yocto arm-trusted-firmware(TF-A) variables -ATF_CONSOLE_DEFAULT ?= "pl011" +ATF_CONSOLE ?= "pl011" TFA_BL33_LOAD ?= "0x8000000" # Yocto PLM variables diff --git a/meta-xilinx-bsp/conf/machine/vmk180-versal.conf b/meta-xilinx-bsp/conf/machine/vmk180-versal.conf index d0d58b0f..0f474f78 100644 --- a/meta-xilinx-bsp/conf/machine/vmk180-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vmk180-versal.conf @@ -15,7 +15,7 @@ YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree ?= "versal_cips_0_pspmc_0_psv_sbsauart YAML_DT_BOARD_FLAGS ?= "{BOARD versal-vmk180-reva-x-ebm-01-reva}" # Yocto arm-trusted-firmware(TF-A) variables -ATF_CONSOLE_DEFAULT ?= "pl011" +ATF_CONSOLE ?= "pl011" TFA_BL33_LOAD ?= "0x8000000" # Yocto PLM variables diff --git a/meta-xilinx-bsp/conf/machine/vpk120-versal.conf b/meta-xilinx-bsp/conf/machine/vpk120-versal.conf index b9f36564..e200d42d 100644 --- a/meta-xilinx-bsp/conf/machine/vpk120-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vpk120-versal.conf @@ -15,7 +15,7 @@ YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree ?= "versal_cips_0_pspmc_0_psv_sbsauart YAML_DT_BOARD_FLAGS ?= "{BOARD versal-vpk120-reva}" # Yocto arm-trusted-firmware(TF-A) variables -ATF_CONSOLE_DEFAULT ?= "pl011" +ATF_CONSOLE ?= "pl011" TFA_BL33_LOAD ?= "0x8000000" # Yocto PLM variables diff --git a/meta-xilinx-bsp/conf/machine/vpk180-versal.conf b/meta-xilinx-bsp/conf/machine/vpk180-versal.conf index 9b06ef8f..92630e97 100644 --- a/meta-xilinx-bsp/conf/machine/vpk180-versal.conf +++ b/meta-xilinx-bsp/conf/machine/vpk180-versal.conf @@ -15,7 +15,7 @@ YAML_CONSOLE_DEVICE_CONFIG:pn-device-tree ?= "versal_cips_0_pspmc_0_psv_sbsauart YAML_DT_BOARD_FLAGS ?= "{BOARD versal-vpk180-reva}" # Yocto arm-trusted-firmware(TF-A) variables -ATF_CONSOLE_DEFAULT ?= "pl011" +ATF_CONSOLE ?= "pl011" TFA_BL33_LOAD ?= "0x8000000" # Yocto PLM variables diff --git a/meta-xilinx-bsp/conf/machine/zcu102-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu102-zynqmp.conf index 9e5e556a..acd2544a 100644 --- a/meta-xilinx-bsp/conf/machine/zcu102-zynqmp.conf +++ b/meta-xilinx-bsp/conf/machine/zcu102-zynqmp.conf @@ -16,7 +16,7 @@ YAML_MAIN_MEMORY_CONFIG:pn-device-tree ?= "PSU_DDR_0" YAML_DT_BOARD_FLAGS ?= "{BOARD zcu102-rev1.0}" # Yocto arm-trusted-firmware(TF-A) variables -ATF_CONSOLE_DEFAULT ?= "cadence" +ATF_CONSOLE ?= "cadence" TFA_BL33_LOAD ?= "0x8000000" # Yocto PMUFW variables diff --git a/meta-xilinx-bsp/conf/machine/zcu104-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu104-zynqmp.conf index 80bd34de..b4c11f3a 100644 --- a/meta-xilinx-bsp/conf/machine/zcu104-zynqmp.conf +++ b/meta-xilinx-bsp/conf/machine/zcu104-zynqmp.conf @@ -16,7 +16,7 @@ YAML_MAIN_MEMORY_CONFIG:pn-device-tree ?= "PSU_DDR_0" YAML_DT_BOARD_FLAGS ?= "{BOARD zcu104-revc}" # Yocto arm-trusted-firmware(TF-A) variables -ATF_CONSOLE_DEFAULT ?= "cadence" +ATF_CONSOLE ?= "cadence" TFA_BL33_LOAD ?= "0x8000000" # Yocto PMUFW variables diff --git a/meta-xilinx-bsp/conf/machine/zcu106-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu106-zynqmp.conf index be48e178..ff273134 100644 --- a/meta-xilinx-bsp/conf/machine/zcu106-zynqmp.conf +++ b/meta-xilinx-bsp/conf/machine/zcu106-zynqmp.conf @@ -16,7 +16,7 @@ YAML_MAIN_MEMORY_CONFIG:pn-device-tree ?= "PSU_DDR_0" YAML_DT_BOARD_FLAGS ?= "{BOARD zcu106-reva}" # Yocto arm-trusted-firmware(TF-A) variables -ATF_CONSOLE_DEFAULT ?= "cadence" +ATF_CONSOLE ?= "cadence" TFA_BL33_LOAD ?= "0x8000000" # Yocto PMUFW variables diff --git a/meta-xilinx-bsp/conf/machine/zcu111-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu111-zynqmp.conf index 4cf028de..77da93ca 100644 --- a/meta-xilinx-bsp/conf/machine/zcu111-zynqmp.conf +++ b/meta-xilinx-bsp/conf/machine/zcu111-zynqmp.conf @@ -16,7 +16,7 @@ YAML_MAIN_MEMORY_CONFIG:pn-device-tree ?= "PSU_DDR_0" YAML_DT_BOARD_FLAGS ?= "{BOARD zcu111-reva}" # Yocto arm-trusted-firmware(TF-A) variables -ATF_CONSOLE_DEFAULT ?= "cadence" +ATF_CONSOLE ?= "cadence" TFA_BL33_LOAD ?= "0x8000000" # Yocto PMUFW variables diff --git a/meta-xilinx-bsp/conf/machine/zcu208-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu208-zynqmp.conf index be1f310c..7bb2c9db 100644 --- a/meta-xilinx-bsp/conf/machine/zcu208-zynqmp.conf +++ b/meta-xilinx-bsp/conf/machine/zcu208-zynqmp.conf @@ -16,7 +16,7 @@ YAML_MAIN_MEMORY_CONFIG:pn-device-tree ?= "PSU_DDR_0" YAML_DT_BOARD_FLAGS ?= "{BOARD zcu208-reva}" # Yocto arm-trusted-firmware(TF-A) variables -ATF_CONSOLE_DEFAULT ?= "cadence" +ATF_CONSOLE ?= "cadence" TFA_BL33_LOAD ?= "0x8000000" # Yocto PMUFW variables diff --git a/meta-xilinx-bsp/conf/machine/zcu216-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu216-zynqmp.conf index cd2644af..f4e1619d 100644 --- a/meta-xilinx-bsp/conf/machine/zcu216-zynqmp.conf +++ b/meta-xilinx-bsp/conf/machine/zcu216-zynqmp.conf @@ -16,7 +16,7 @@ YAML_MAIN_MEMORY_CONFIG:pn-device-tree ?= "PSU_DDR_0" YAML_DT_BOARD_FLAGS ?= "{BOARD zcu216-reva}" # Yocto arm-trusted-firmware(TF-A) variables -ATF_CONSOLE_DEFAULT ?= "cadence" +ATF_CONSOLE ?= "cadence" TFA_BL33_LOAD ?= "0x8000000" # Yocto PMUFW variables diff --git a/meta-xilinx-bsp/conf/machine/zcu670-zynqmp.conf b/meta-xilinx-bsp/conf/machine/zcu670-zynqmp.conf index 48f81474..c726e9f5 100644 --- a/meta-xilinx-bsp/conf/machine/zcu670-zynqmp.conf +++ b/meta-xilinx-bsp/conf/machine/zcu670-zynqmp.conf @@ -16,7 +16,7 @@ YAML_MAIN_MEMORY_CONFIG:pn-device-tree ?= "PSU_DDR_0" YAML_DT_BOARD_FLAGS ?= "{BOARD zcu670-revb}" # Yocto arm-trusted-firmware(TF-A) variables -ATF_CONSOLE_DEFAULT ?= "cadence" +ATF_CONSOLE ?= "cadence" TFA_BL33_LOAD ?= "0x8000000" # Yocto PMUFW variables -- cgit v1.2.3-54-g00ecf From bfc688aedfa595f5c85d65a0eec1468b64f1c0f9 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Wed, 30 Aug 2023 16:14:28 -0600 Subject: ultra96-zynqmp: Use ATF_CONSOLE instead of ATF_CONSOLE_DEFAULT In arm-trusted-firmware recipe, ATF_CONSOLE_DEFAULT variable has override and setting this variable value from local.conf and machine.conf will not be effective during variable pre-expansion values. Hence use ATF_CONSOLE instead of ATF_CONSOLE_DEFAULT in machine conf files. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-vendor/conf/machine/ultra96-zynqmp.conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-vendor/conf/machine/ultra96-zynqmp.conf b/meta-xilinx-vendor/conf/machine/ultra96-zynqmp.conf index 57f31c93..daa73f2a 100644 --- a/meta-xilinx-vendor/conf/machine/ultra96-zynqmp.conf +++ b/meta-xilinx-vendor/conf/machine/ultra96-zynqmp.conf @@ -16,7 +16,7 @@ YAML_MAIN_MEMORY_CONFIG:pn-device-tree ?= "PSU_DDR_0" YAML_DT_BOARD_FLAGS ?= "{BOARD avnet-ultra96-rev1}" # Yocto arm-trusted-firmware(TF-A) variables -ATF_CONSOLE_DEFAULT ?= "cadence1" +ATF_CONSOLE ?= "cadence1" TFA_BL33_LOAD ?= "0x8000000" # Yocto PMUFW variables -- cgit v1.2.3-54-g00ecf From 9240822918e8dabd8232ed4b3fa77f56252b6d6a Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Wed, 30 Aug 2023 16:14:29 -0600 Subject: README: Update README on using ATF_CONSOLE Update README on using ATF_CONSOLE instead of ATF_CONSOLE_DEFAULT. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- meta-xilinx-core/conf/machine/README | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/conf/machine/README b/meta-xilinx-core/conf/machine/README index 0b018b61..de8cf58d 100644 --- a/meta-xilinx-core/conf/machine/README +++ b/meta-xilinx-core/conf/machine/README @@ -199,7 +199,7 @@ YAML_DT_BOARD_FLAGS:pn-device-tree - YAML based configuration for setting eval board specific dtsi files available in DTG repo. arm-trusted-firmware recipe from meta-xilinx-core: -ATF_CONSOLE_DEFAULT - Uart console configuration for all aarch64 device families. +ATF_CONSOLE - Uart console configuration for all aarch64 device families. Example: pl011 or cadence or cadence1 etc,. TFA_BL33_LOAD - BL33 preloadded base address to EXTRA_OEMAKE for aarch64. -- cgit v1.2.3-54-g00ecf From 9b193fd5996062e81c5cda575cfd03d2c1692c49 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 5 Sep 2023 12:46:49 +0530 Subject: u-boot-xlnx : Updated SRCREV for 2023.2_1791 arm64: versal-net: Fix sysmon interrupt number Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 87839254..4165543b 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "ce3aa5cdb1b01239466028eabf918e3e0ba1d529" +SRCREV = "5af51afd459eaf5bac81ff5315fad0b2ee20972a" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From 3cbca881e5567624a5a1152acadf7a46e2477b05 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 5 Sep 2023 12:50:53 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_3567 sw_services:xilnvm: Fix SW-BP-REDUNDANCY drivers: trngpsx: Add volatile keyword to avoid optimization drivers: trngpsx: Remove Dead code sw_services:xilsecure: Fix updating KAT mask bits for external modules gpio: Add support for peripheral test for gpio in SDT flow sw_services: xilplmi: Reread from efuse cache sw_apps:versal_plm: Change exception print to DEBUG_PRINT_ALWAYS sw_services:xilplmi: DEBUG_PRINT_ALWAYS for critical error prints sw_services:xilplmi: Change PLM debug level to 0 for XilSEM xdmapcie: Replace csr-slcr property to populate xilpm: versal_net: clear pcil for rpu only sw_services:xilplmi:Added PSM Address range check xilpm: versal_net: skip halt if core is powered down sw_services:xilplmi:Updated IPI Timeout Value Revert "Revert "sw_services:xilsecure:Fix ECDSA boot failure on qemu"" xilsem: Replace Versal net SHA3 instance function with new API name avbuf: Ported changes for using the SDT flow dpdma: Ported changes for using the SDT flow dppsu: Ported changes for using the SDT flow Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index a2279f07..b6665012 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "6ccd026d115ed986ccb1541c62dc7dac21bf2ab8" +ESW_REV[2023.2] = "4b382e452bdc148cb10c99da03f0201150dbfe4d" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 386e73eb02e6447a2fc9a9f6c2c5305fa78c01f3 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 5 Sep 2023 23:47:49 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_5539 mtd: spi-nor: Fix timeout issue with winbond multi die flash dt-bindings: net: xilinx_gmii2rgmii: Convert to json schema staging: xilinx_hdcp: Add mmult support for HDCP2x Rx staging: xilinx_hdcp: Add HDCP2x Rx cipher support staging: xilinx_hdcp: Add support for HDCP2x Rx arm64: versal-net: Fix sysmon interrupt number watchdog: of_xilinx_wdt: Remove unnecessary clock disable call in the remove path watchdog: of_xilinx_wdt: Use devm_clk_get_enabled() helper drivers: soc: xilinx: add check for platform firmware: xilinx: Register event manager driver drivers: soc: xilinx: add versal-net error event ids and masks drivers: soc: xilinx: rename error event header file for versal drivers: soc: xilinx: rename versal error event ids and masks Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index ce505895..98257604 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "5cfa8a2ffb8ecdb3388280bf95dd82349e26a5fb" +SRCREV = "b88eef72401fcc3c669c785fdf114997bd63a5ac" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 62fbb6868571550e5f8757017fa6187019a5dd9c Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Tue, 5 Sep 2023 12:45:41 +0530 Subject: Updated SRCREV of dfx-mgr for 2023.2_2207 README.md: AIE and graph notes README.md: add libdfx, XRT, kria-dfx-hw links dfx_mgr: avoid reading Clear-On-Read register client: API to set Data-Mover configuration client: list or set Data-Mover configuration dfx_mgr: display or configure Data-Movers dfx-mgr: get Inter-RP address from shell.json accel: Use sbustring match to get VA address dfx-mgrd: add uid, pid checks Signed-off-by: Siva Addepalli Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb b/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb index 23057050..0d24c9fc 100644 --- a/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/dfx-mgr/dfx-mgr_2023.2.bb @@ -9,7 +9,7 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG}" BRANCH = "xlnx_rel_v2023.2" -SRCREV = "d78eac47f17bca4326a4540ff5d2ebea7d9c45ed" +SRCREV = "4dbd33accb043bd92ecbec2a3507f85a22045c51" SOMAJOR = "1" SOMINOR = "0" SOVERSION = "${SOMAJOR}.${SOMINOR}" -- cgit v1.2.3-54-g00ecf From 1d9c26a8158b3093c1753d81df145685fb8ff766 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Wed, 6 Sep 2023 11:42:04 +0530 Subject: Updated Commit ID gen_yocto_machine.py: Instead of ATF_CONSOLE_DEFAULT variable add ATF_CONSOLE Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index b98f7351..ae406ee0 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit b98f73514d99663c9b5040947c39f6494496384a +Subproject commit ae406ee01558542afc0ab2002c37b0e9fd2d1c7d -- cgit v1.2.3-54-g00ecf From 4f66789386fff320e317fefe7ab806c289167e43 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 6 Sep 2023 17:46:01 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_8435 v4l2: xilinx: dprx: Add HDCP2x support v4l2: xilinx: Add HDCP2x Rx support config: xilinx: versal: Enable reset-controller ptp: xilinx: Add workaround for EXTTS interrupt handling spi: spi-zynq-qspi: Fix issue in accessing the upper flash Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 98257604..88651319 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "b88eef72401fcc3c669c785fdf114997bd63a5ac" +SRCREV = "63a2ddb4ca597d67d4e4e858761c1f7ff81ebd11" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From ba09f7c7f68d7befd7345ad9615311e54c6ab899 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 6 Sep 2023 18:36:35 +0530 Subject: aie-rt : Updated SRCREV for 2023.2_4663 driver:src: Added the new transaction bufffer header to include dir driver: src: dma: Convert DMA WriteBD operations to BlockWrite operations for shim DMA BD driver:src:Add consistant way to access custom Opearator driver: src: global: Minor fixes to doxygen documentation Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 38bb7f9f..d454f44b 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "xlnx_rel_v2023.2" -SRCREV ?= "c4b61e22a59b72ea40a8ff8bf1b75e321e58682f" +SRCREV ?= "6311e50b014833568945edb3dc456f996a5da9d2" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=04a153cae61a8a606fc79dff49c2c897" -- cgit v1.2.3-54-g00ecf From daaefe2667cc65c219841b8f31050386e6f68eb9 Mon Sep 17 00:00:00 2001 From: Varalaxmi Bingi Date: Mon, 4 Sep 2023 16:48:40 +0530 Subject: xrt.inc:adding name to src_rev There are multiple SRC_URI's in xrt recipe file. Getting below warning if we wont specify the name to SRCREV Warning: WARNING: xrt-202320.2.16.0-r0 do_package_qa_setscene: ExpansionError('SRCPV', '${@bb.fetch2.get_srcrev(d)}', FetchError('The SRCREV_FORMAT variable must be set when multiple SCMs are used.\nThe SCMs are:\ngit://github.com/Xilinx/XRT.git;protocol=https;branch=master\ngit://github.com/Xilinx/dma_ip_drivers.git;branch=master;name=dma_ip_drivers;destsuffix=git/src/runtime_src/core/pcie/driver/linux/xocl/lib/libqdma;protocol=https\ngit://github.com/serge1/ELFIO.git;branch=main;name=ELFIO;destsuffix=git/src/runtime_src/core/common/elf;protocol=https', None)) Signed-off-by: Varalaxmi Bingi Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 08ad0f77..95c8de8e 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -1,9 +1,9 @@ REPO ?= "git://github.com/Xilinx/XRT.git;protocol=https" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" -SRC_URI = "${REPO};${BRANCHARG}" +SRC_URI = "${REPO};${BRANCHARG};name=xrt" BRANCH= "master" -SRCREV= "ff10e98baa0538aa6014fda44631f4c35fc577e0" +SRCREV_xrt = "ff10e98baa0538aa6014fda44631f4c35fc577e0" PV = "202320.2.16.0" SRC_URI += "git://github.com/Xilinx/dma_ip_drivers.git;branch=master;name=dma_ip_drivers;destsuffix=git/src/runtime_src/core/pcie/driver/linux/xocl/lib/libqdma;protocol=https" -- cgit v1.2.3-54-g00ecf From d7c631b528068650836ea53b7ff74a8dad11821f Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 6 Sep 2023 21:48:17 +0530 Subject: aie-rt : Updated SRCREV for 2023.2_7699 driver:src: fixe the BD iteration value boundary check Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index d454f44b..8271189d 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "xlnx_rel_v2023.2" -SRCREV ?= "6311e50b014833568945edb3dc456f996a5da9d2" +SRCREV ?= "600c74adc4759a1df40f767edf11b41949b52728" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=04a153cae61a8a606fc79dff49c2c897" -- cgit v1.2.3-54-g00ecf From 012eb3b0c1118e14b2102066549b4daa65e84e81 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 6 Sep 2023 21:47:53 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_7295 xilnvm: Validate DME key before programming xilocp: XPPU configurations for DME operations sdps: change data type of BaseAddress sdps: Fix MISRA C-2012 Rule 8.5 violation sdps: Fix MISRA C-2012 Rule 15.5 violation sdps: Fix MISRA C-2012 Rule 14.4 violation sdps: Fix MISRA C-2012 Rule 12.1 violation sdps: Fix MISRA C-2012 Rule 10.6 violation sdps: Fix MISRA C-2012 Rule 10.4 violation sdps: Fix MISRA C-2012 Rule 10.1 violation sdps: Fix MISRA C-2012 Rule 4.7 violation ipipsu: Fix HIS_COMF violations qspipsu: src: Fix code format issues with checkpatch tool qspipsu: src: Update Polled transfer and Interrupt Handler functions as modular xilfpga: Add proper ifdef platform checks sw_services: xilmailbox: Fix HIS_COMF violations sw_services: xilplmi: Added the NullCheck for EmInit parameters update Embedded SW license file for 2023.2 release sw_apps: imgsel: versal image selector clean up sw_services:xilskey: Fixed MISRA-C Rule 8.5 violation rfdc: SDT Add Dependency Files Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index b6665012..667f4249 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "4b382e452bdc148cb10c99da03f0201150dbfe4d" +ESW_REV[2023.2] = "27ae5596270e2e4cc6a0ae23bcc80d49b4754724" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" @@ -16,7 +16,7 @@ EMBEDDEDSW_SRCURI ?= "${REPO};${EMBEDDEDSW_BRANCHARG}" LICENSE = "MIT" LIC_FILES_CHKSUM[xlnx_rel_v2023.1] = '3c310a3ee2197a4c92c6a0e2937c207c' -LIC_FILES_CHKSUM[xlnx_rel_v2023.2-next] = '3c310a3ee2197a4c92c6a0e2937c207c' +LIC_FILES_CHKSUM[xlnx_rel_v2023.2-next] = '6677b545d223964a4906f97a2229bfc5' LIC_FILES_CHKSUM ??= "file://license.txt;md5=${@d.getVarFlag('LIC_FILES_CHKSUM', d.getVar('BRANCH')) or '0'}" SRC_URI = "${EMBEDDEDSW_SRCURI}" -- cgit v1.2.3-54-g00ecf From 2854d618010087bbffdc0c7d28055bdbff94e2f3 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 7 Sep 2023 12:45:43 +0530 Subject: arm-trusted-firmware : Updated SRCREV for 2023.2_8051 fix(xilinx): update dtb when dtb address and tf-a ddr flow is used fix(versal): use correct macro name for ocm base address Signed-off-by: Siva Addepalli --- .../recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb index 26c24498..6ebd6cb5 100644 --- a/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_2023.2.bb @@ -1,5 +1,5 @@ ATF_VERSION = "2.8" -SRCREV = "1ce681f023ba57a16c72538ee124a106cc4b3988" +SRCREV = "6be857cb66300fb21e3f61308e4197911c8ac30d" BRANCH = "xlnx_rebase_v2.8" LIC_FILES_CHKSUM = "file://license.rst;md5=1dd070c98a281d18d9eefd938729b031" -- cgit v1.2.3-54-g00ecf From b32098dffa5969c301b2da1bc94a4f040a1bab0c Mon Sep 17 00:00:00 2001 From: saumya garg Date: Wed, 6 Sep 2023 15:09:24 +0530 Subject: xrt, zocl: Update commit id Changelog: changing the dt names of versal nodes. (#7690) CR-1173506 Segmentation fault when running VAI application (#7689) Fix for CR-1146345 (#7688) CR-1155098 Missing host memory status in Platform report (#7675) CR-1173328 Add argument validation check after checking for configurations (#7686) VITIS-9039 Add an IPU specific test to validate (#7676) Fix ert_false issue for versal device (#7685) VITIS-9224 Xbutil Enhancements for Power Management (#7682) Remove stray prints (#7684) Fixes for CR-1167717, CR-1173167, and CR-1173061 (#7681) Use driver store path for xilinx_xrt on Windows (#7679) Updates to Profiling/Trace PS kernels (#7670) CR-1121893 Fix edge build for debian flow (#7671) Signed-off-by: saumya garg Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 95c8de8e..fcb9574e 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -3,7 +3,7 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG};name=xrt" BRANCH= "master" -SRCREV_xrt = "ff10e98baa0538aa6014fda44631f4c35fc577e0" +SRCREV_xrt = "efdc361cbecfd9e2968d632b4606bdf9276268dc" PV = "202320.2.16.0" SRC_URI += "git://github.com/Xilinx/dma_ip_drivers.git;branch=master;name=dma_ip_drivers;destsuffix=git/src/runtime_src/core/pcie/driver/linux/xocl/lib/libqdma;protocol=https" -- cgit v1.2.3-54-g00ecf From a60763e118eadeb4eb05aa72b81e33f314d20783 Mon Sep 17 00:00:00 2001 From: Sandeep Gundlupet Raju Date: Tue, 5 Sep 2023 18:57:08 -0600 Subject: boot.cmd.generic.root: Add kernel command line param support Add kernel command line parameters support in boot.scr, With this user can append additional kernel command line parameters to existing bootargs. Signed-off-by: Sandeep Gundlupet Raju Signed-off-by: Mark Hatle --- .../recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.generic.root | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.generic.root b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.generic.root index 816707cb..ed12f941 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.generic.root +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-scr/boot.cmd.generic.root @@ -15,7 +15,7 @@ do if test "${boot_target}" = "jtag" ; then fdt addr @@DEVICETREE_ADDRESS@@ fdt get value bootargs /chosen bootargs - setenv bootargs $bootargs @@KERNEL_ROOT_RAMDISK@@ + setenv bootargs $bootargs @@KERNEL_COMMAND_APPEND@@ @@KERNEL_ROOT_RAMDISK@@ @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ @@RAMDISK_IMAGE_ADDRESS@@ @@DEVICETREE_ADDRESS@@ fi if test "${boot_target}" = "mmc0" || test "${boot_target}" = "mmc1" || test "${boot_target}" = "usb0" || test "${boot_target}" = "usb1"; then @@ -65,7 +65,7 @@ do fatload ${devtype} ${devnum}:${distro_bootpart} @@RAMDISK_IMAGE_ADDRESS@@ ${ramdisk_name}; fdt addr @@DEVICETREE_ADDRESS@@ fdt get value bootargs /chosen bootargs - setenv bootargs $bootargs @@KERNEL_ROOT_RAMDISK@@ + setenv bootargs $bootargs @@KERNEL_COMMAND_APPEND@@ @@KERNEL_ROOT_RAMDISK@@ @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ @@RAMDISK_IMAGE_ADDRESS@@ @@DEVICETREE_ADDRESS@@ fi echo "Checking for /${rootfs_name}" @@ -74,12 +74,12 @@ do fatload ${devtype} ${devnum}:${distro_bootpart} @@RAMDISK_IMAGE_ADDRESS@@ ${rootfs_name}; fdt addr @@DEVICETREE_ADDRESS@@ fdt get value bootargs /chosen bootargs - setenv bootargs $bootargs @@KERNEL_ROOT_RAMDISK@@ + setenv bootargs $bootargs @@KERNEL_COMMAND_APPEND@@ @@KERNEL_ROOT_RAMDISK@@ @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ @@RAMDISK_IMAGE_ADDRESS@@ @@DEVICETREE_ADDRESS@@ fi fdt addr @@DEVICETREE_ADDRESS@@ fdt get value bootargs /chosen bootargs - setenv bootargs $bootargs @@KERNEL_ROOT_SD@@ + setenv bootargs $bootargs @@KERNEL_COMMAND_APPEND@@ @@KERNEL_ROOT_SD@@ @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ - @@DEVICETREE_ADDRESS@@ fi if test "${boot_target}" = "xspi0" || test "${boot_target}" = "qspi" || test "${boot_target}" = "qspi0"; then @@ -93,7 +93,7 @@ do sf read @@RAMDISK_IMAGE_ADDRESS@@ @@QSPI_RAMDISK_OFFSET@@ @@QSPI_RAMDISK_SIZE@@ fdt addr @@DEVICETREE_ADDRESS@@ fdt get value bootargs /chosen bootargs - setenv bootargs $bootargs @@KERNEL_ROOT_RAMDISK@@ + setenv bootargs $bootargs @@KERNEL_COMMAND_APPEND@@ @@KERNEL_ROOT_RAMDISK@@ @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ @@RAMDISK_IMAGE_ADDRESS@@ @@DEVICETREE_ADDRESS@@; echo "Booting using Separate images failed" fi @@ -108,7 +108,7 @@ do nand read @@RAMDISK_IMAGE_ADDRESS@@ @@NAND_RAMDISK_OFFSET@@ @@NAND_RAMDISK_SIZE@@ fdt addr @@DEVICETREE_ADDRESS@@ fdt get value bootargs /chosen bootargs - setenv bootargs $bootargs @@KERNEL_ROOT_RAMDISK@@ + setenv bootargs $bootargs @@KERNEL_COMMAND_APPEND@@ @@KERNEL_ROOT_RAMDISK@@ @@KERNEL_BOOTCMD@@ @@KERNEL_LOAD_ADDRESS@@ @@RAMDISK_IMAGE_ADDRESS@@ @@DEVICETREE_ADDRESS@@; echo "Booting using Separate images failed" fi -- cgit v1.2.3-54-g00ecf From fcdc430282d33274670e1ddcd998f54e521f967d Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 8 Sep 2023 18:54:12 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_9143 cpu_riscv: Fix addgroup tag dp14rxss: Enable HDCP2X Timer handler calling function only when HDCP2X is enabled. xilpm: versal: server: Fix MISRA-C rule 10.4 xilpm: versal_common: server: Fix MISRA-C rule 8.13 sw_apps: zynqmp_pmufw: Fix MISRA-C rule 10.4 dfeprach: Remove immediate trigger dfeccf: Remove immediate trigger dfeofdm: Remove immediate trigger dfemix: Remove immediate trigger sw_services: xilpuf: Declare variable that are passed to server in data section srec_spi_bootloader: Adding a check in cmake to throw proper error srec_spi_bootloader: Adding linker_constraint for heap section in yaml srec_bootloader: Adding linker_constraint for heap section in yaml DP21: Add support for DP21 linkrates video_common: Fix compilation warning xilpm: versal: server: Add NPI read after enabling privilege write access for AIE2 dpdma: dppsu: Remove tapp section from yaml files Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 667f4249..9232a10e 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "27ae5596270e2e4cc6a0ae23bcc80d49b4754724" +ESW_REV[2023.2] = "ea73baf7767b879075b11b5a7831a9b0f90e59cc" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From b33e4fb9934a9c8d26150fa0f3908f24c53f889e Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 11 Sep 2023 16:16:37 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_1703 misc: xilinx-ai-engine: Fix bug in setting Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 88651319..8641401a 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "63a2ddb4ca597d67d4e4e858761c1f7ff81ebd11" +SRCREV = "21bb2c6510c445347db84d59f6b5a7cc09be507b" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 3df21fa78623fc20ccfc95104029d1305ceda478 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 11 Sep 2023 16:13:34 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_2891 ospipsv: Fix MISRA-C Rule 8.13 violation sw_services:xilplmi:Sec COE Review Fixes uartlite: Correct SDT and interrupt checks in xuartlite_intr_tapp_example csudma:Fix HIS_COMF violations axidma: Fix sg_cyclic_intr example failure on r5 Updated version number to latest in examples/index.html for multiple drivers BSP: microblaze: Fix prototypes of few cache APIs plm: versal_net: Use Reserved DDR Region for DS storage during update xilpki: fix issues with PKI IRQ signal lib: sw_apps: zynqmp_pmufw: Fix compilation error when ENABLE_RECOVERY flag is enabled in sdt flow xilpdi:Fix MISRA C violations for Rule 12.1 scuwdt: Update examples to stop the wdt at the end of the test scutimer: Update examples to stop the timer at end of the test xilplmi: versal: Allow loading of ELFs to XRAM dmaps: Fix example instance structure handling v_hdmitx1: Add support for configurable VTEM packets esw: Fix CMAKE_MACHINE for plm and psm microblaze processors in versalnet cmake: UserConfig.cmake: Disable USER_COMPILE_PROFILING_ENABLE configuration scripts: pyesw: build_bsp: Use cmake --build with verbose esw: Properly handle the processor extra compiler options ThirdParty: sw_services: lwip213: Fix the target name in clean target xilpki: Update XPki_GetVersion() API prototype Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 9232a10e..27fd42c0 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "ea73baf7767b879075b11b5a7831a9b0f90e59cc" +ESW_REV[2023.2] = "5829c0d9de3f9c3d05163fed983c09b5a22ee276" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 01af6f27edfa17ab9fda40635ef090e14e9dab2c Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Thu, 7 Sep 2023 20:03:18 +0530 Subject: Updated SRCREV of bootgen for 2023.2_4079 2023.2 Updates 1. Support for replace/append use cases based of include bif 2. Fix build on machines with modern flex 2023.2_ksb Updates 1. Support for Authentication Optimization 2. Support for User Optional Data 3. Support for TCM Boot Flag 4. Add set_ipi_access Command 5. Add Sub Marker Support 6. Bug Fix for Deterministic Builds 2023.2_ksb Updates Signed-off-by: Siva Addepalli Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.2.bb b/meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.2.bb index cd4b1e36..03f323e1 100644 --- a/meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.2.bb +++ b/meta-xilinx-core/recipes-bsp/bootgen/bootgen_2023.2.bb @@ -11,7 +11,7 @@ RDEPENDS:${PN} += "openssl" REPO ?= "git://github.com/Xilinx/bootgen.git;protocol=https" BRANCH = "xlnx_rel_v2023.2" -SRCREV = "4f1e1caf2c09cdeacc35cbeedaf2550c6e44c7fd" +SRCREV = "8e6702bb5064b806e45028486de7376962470a36" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" -- cgit v1.2.3-54-g00ecf From 5c099ca15c020f7a857379631e1d7aff58c7bec3 Mon Sep 17 00:00:00 2001 From: Ben Levinsky Date: Thu, 7 Sep 2023 10:07:59 -0700 Subject: libmetal-xlnx: Updated SRCREV for 2023.2 Changelog: examples: linux: zynqmp: Remove MB definition examples: freertos: define xInterruptController in System Device T.. lib: freertos: Add support for A72 and A78 lib: generic: zynqmp_a78: Add support for versal_net a78 platform .. lib: utilities: Match upstream for MB/GB macros Export each of the memory_order enumerators lib: add support for A78 Baremetal This fixes compilation issue in build with latest libmetal Signed-off-by: Ben Levinsky Signed-off-by: Mark Hatle --- .../openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.2.bb b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.2.bb index 7a5dc70e..5618ae88 100644 --- a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.2.bb +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/libmetal/libmetal-xlnx_v2023.2.bb @@ -1,5 +1,5 @@ SRCBRANCH ?= "2023.2" -SRCREV = "be635252271de342014a146825870b64bd41d6eb" +SRCREV = "00fd771adc7adaed664ed6c5bc3d48d25856fe5c" BRANCH = "xlnx_rel_v2023.2" LIC_FILES_CHKSUM ?= "file://LICENSE.md;md5=f4d5df0f12dcea1b1a0124219c0dbab4" PV = "${SRCBRANCH}+git${SRCPV}" -- cgit v1.2.3-54-g00ecf From 9215dae304584d11f921eb495d84288ae4b410dd Mon Sep 17 00:00:00 2001 From: Sergei Korneichuk Date: Wed, 30 Aug 2023 00:32:49 -0700 Subject: open-amp-xlnx: Updated SRCREV for 2023.2 Changelog: apps: zynq7: Move App specific variables to platform_info.h apps: zynqmp: Move App specific variables to platform_info.h apps: zynqmp_r5: Move App specific variables to platform_info.h Signed-off-by: Sergei Korneichuk Signed-off-by: Mark Hatle --- .../openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb index eba124d9..8c6b4431 100644 --- a/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb +++ b/meta-xilinx-core/dynamic-layers/openamp-layer/recipes-openamp/open-amp/open-amp-xlnx_v2023.2.bb @@ -1,5 +1,5 @@ SRCBRANCH ?= "2023.2" -SRCREV = "e95b02aef72a21039b1d109087788d4675475813" +SRCREV = "73a546f2b5faffe71680b1e5389f3328be60773f" BRANCH = "xlnx_rel_v2023.2" LIC_FILES_CHKSUM ?= "file://LICENSE.md;md5=ab88daf995c0bd0071c2e1e55f3d3505" PV = "${SRCBRANCH}+git${SRCPV}" -- cgit v1.2.3-54-g00ecf From 52b6fdee4dfa5e0f4c593a5b457fbd3a7084471f Mon Sep 17 00:00:00 2001 From: Varalaxmi Bingi Date: Fri, 8 Sep 2023 17:53:04 +0530 Subject: xrt.inc: adding SRCREV_FORMAT variable when there are multiple srcuri then we need to mention the SRC_URI names in SRCREV_FORMAT variable. otherwise it will throw warning Signed-off-by: Varalaxmi Bingi Set the format to only pay attention to XRT Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 1 + 1 file changed, 1 insertion(+) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index fcb9574e..cbdee970 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -11,3 +11,4 @@ SRCREV_dma_ip_drivers = "9f02769a2eddde008158c96efa39d7edb6512578" SRC_URI += "git://github.com/serge1/ELFIO.git;branch=main;name=ELFIO;destsuffix=git/src/runtime_src/core/common/elf;protocol=https" SRCREV_ELFIO = "a04810f12625207cce72665d783babb80f0175a8" +SRCREV_FORMAT = "xrt" -- cgit v1.2.3-54-g00ecf From 210637fe0599a55cff05facc13b2f706d2afb586 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 13 Sep 2023 12:46:46 +0530 Subject: u-boot-xlnx : Updated SRCREV for 2023.2_2883 mtd: spi-nor: Fix the issi_get_locked_range api arm64: zynqmp: Add output-enable pins to SOMs cmd: sf: Fix the flash_is_unlocked api size parameter mtd: spi-nor: Fix the giga_get_locked_range api Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 4165543b..30da126f 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "5af51afd459eaf5bac81ff5315fad0b2ee20972a" +SRCREV = "ae520c3d6f89a639ea76ed439735e142e18f5957" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From 29665dbde83e883d7673da4c8f53b9f84417b873 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 14 Sep 2023 12:46:51 +0530 Subject: u-boot-xlnx : Updated SRCREV for 2023.2_2643 arm64: versal-net: Add dts files for mini u-boot qspi and ospi configurations mtd: spi-nor: Update block protection flags for spansion flash Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 30da126f..b9d6a16e 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "ae520c3d6f89a639ea76ed439735e142e18f5957" +SRCREV = "ddaf9cd263bfce05bc7427f544b71a0cc75647ac" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From 95e3303f7cc56ca3e1197d49acdb2ac157ae423e Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 14 Sep 2023 12:50:57 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_5147 xilffs: Fix compilation warning usb: usbpsu: fix HIS_RETURN violation Updated changelog for cpu, versal_plm and other components tmr_inject: Fix style issues in the driver sources tmr_manager: Correct the syntax for xlnx, mask-rst-value property sw_services:xilpki:Fixed doxygen warnings sw_services:xilsecure: Avoid returning XST_SUCCESS incase of glitch sw_services: xilocp: Avoid returning XST_SUCCESS incase of glitch scugic: Fixed source code-format issue. scugic: Include xplatform_info.h for all processors scugic: Fix HIS_COMF violations scugic: Fix MISRA C violation for Rule 14.4 scugic: Fix MISRA-C violation for Rule 10.3 sw_services:xilsecure:Removed NO_EFFECT coverity warning fix. nandpsu: Update the clock node qspipsu: Update the clock node uartpsu: Update the clock node iicps: Update the clock node lib: standalone: Add the clocking to the standalone v_hdmitxss1: added tx compliance changes v_hdmirxss1: added tx compliance values v_hdmiphy1: Added new registers openamp: apps: zynqmp_r5: freertos: Remove call to vPortEnableInterrupt() cframe: Fixed MISRA-C violation 8.13 cframe: Fixed MISRA-C violation 4.6 cframe: Fixed MISRA-C violation 7.2 cframe: Fixed MISRA-C violation 10.4 cframe: Fixed MISRA-C violation 10.1 trngpsx: add SDT support sdps: Update YAML with Versal NET eMMC compatible sdps: Add support to read Tap configurations sw_services:xilplmi:Remove redundant code Xilloader: PCR security review comments xilocp: Fixed Security review comments for OCP BSP: riscv: Fix definitions for hpmevent registers xilpm: Update SSIT temperature propagation xilpm: versal: server: Fix sub-system restart on vek280 iicps: Fix doxygen warnings mipicsiss: Removing linker script usb: usbpsu: src: fix HIS_VOCF metric violation usb: usbpsu: src: fix MISRA C-2012 Rule 10.3 violation sw_services: xilsecure: Removed unused code of TRNG in xilsecure library sw_Services: xilsecure: Restricted XSecure_EccRandInit API to VersalNet sw_services: xilsecure: Use CacheInvalidate as per ARM recommendations xilpm: versal_common: server: Add missing code while integrating into Rigel workflow Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 27fd42c0..2d006765 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "5829c0d9de3f9c3d05163fed983c09b5a22ee276" +ESW_REV[2023.2] = "79ba04717ca52a460438f4ec2da12186248adf97" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 765d352c5ccac74ef882ce9e925ef4dab50d8435 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 14 Sep 2023 12:52:30 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_9351 fpga: versal: Add support for 44-bit DMA operations Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 8641401a..80365907 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "21bb2c6510c445347db84d59f6b5a7cc09be507b" +SRCREV = "180fe9b4c8be35c0c75e38a533634dcfd5f39b84" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From f3a7eb8b2bf3cf6b99b2917300f0bffe721eca6a Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 15 Sep 2023 16:05:50 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_9395 uio_xilinx_ai_engine: Fix return value of xilinx_ai_engine_simulate_irq uio_xilinx_ai_engine: Fix irq number in call to irq_set_irqchip_state Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 80365907..a464cdde 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "180fe9b4c8be35c0c75e38a533634dcfd5f39b84" +SRCREV = "4f412ed20fd2c5353c1e878ef9ed77952a5040a1" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From dd03a1f8314d2d4d9bf1d5a0d28ccd49874151f6 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 15 Sep 2023 16:04:22 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_2231 mipicsiss:Updated files with VEK280 Pre-Production board xilloader: Fix MISRA C violation 17.8 xilloader: Fix MISRA C violation 17.7 xilplmi: Fix MISRA C violation 12.2 xilloader: Fix MISRA C violation 12.1 xilloader: Fix MISRA C violation 10.3 xilloader: Fix MISRA C violations for Directive 4.5. v_hdmitxss1: Added tx compliance values v_hdmirxss1: Added tx compliance values ThirdParty: sw_services: Libmetal: sdt: Remove A78 support xilsem: Fix NPI Scan Status after In Place PLM Update Revert "xilpm:versal_net: save and restore PL devices hierarchy" Revert "xilpm:versal_net: Restore missing Pl Devices during PLM update" Revert "xloader:versal_net: save and restore image info table contents" Revert "xilpm:versal_common: fix potential issue on array out of bound." xilplmi: Fix MISRA C violation 13.4 xilplmi: Fixed MISRA C violation for Rule 17.7 xilplmi: Fix MISRA C violations for Rule 14.4 xilplmi: Fix MISRA C violation 10.8 xilplmi: MISRA C violation 10.3 updated for changelog dp21txss , v_hdmitxss1 and other components xilskey: added SDT support for examples xilpm: versal: server: Add XPM_POLL_TIMEOUT definition for CPPUTEST cmake: toolchainfiles: microblaze-plm_toolchain.cmake: Fix VERSAL_PLM redefined warning cmake: toolchainfiles: microblaze-p*: Force the CMAKE_MACHINE variable lib: sw_services: xilplmi: Fix implicit declaration of function Xil_SetMBFrequency warning lib: sw_services: xiltimer: Move the Xil_SetMBFrequency() API declaration to xiltimer.h sw_services:xilnvm:Fixed doxygen warnings scripts: pyesw: library_utils: Return proper error code ipipsu: Update macros to resolve redefinition issue lib: sw_services: xiltimer: Make changes with checkpatch lib: sw_services: xiltimer: Fix incorrect TTC counter handling for Zynq lib: sw_services: xiltimer: Fix sleep handling logic in scutimer adapter lib: sw_services: xiltimer: Add scutimer support for SDT flow ttcps: Fixed source code-format issue. ttcps: Fix HIS_COMF violations ttcps: Fix MISRA-C violation for RULE 14.3 ttcps: Fix MISRA-C violations for 10.7 and 12.2 ttcps: Fix MISRA-c violation for Rule 10.4 ttcps: Remove unnecessary call to XTtcPs_ClearInterruptStatus ttcps: Fix MISRA-C violations for Rule 10.5 ttcps: Fix MISRA-C violations for Rule 10.3 qspipsu: Update XQspiPsu_PolledRecvData and XQspiPsu_PolledMessageTransfer functions qspipsu: Fix MISRA-C violation 2.2 and 2.6 qspipsu: Fix MISRA-C violation 10.1 qspipsu: Fix MISRA-C violation 8.13 xilpm:versal_net: save and restore PL devices hierarchy xilpm:versal_net: Restore missing Pl Devices during PLM update xloader:versal_net: save and restore image info table contents xilpm:versal_common: fix potential issue on array out of bound. sw_services:xilpuf:Fixed MISRA-C Rule 2.5 violation ThirdParty: sw_services: OpenAMP: sdt: Remove A53, A72 and A78 support ThirdParty: sw_services: Libmetal: sdt: Add support for PMUFW and PMC Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 2d006765..e86f2eaa 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "79ba04717ca52a460438f4ec2da12186248adf97" +ESW_REV[2023.2] = "8c698994fb414274b530a1d1d36146a3ca69f993" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From efaf28a79e2cd25ec97bf74d24c5248899d6b292 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 18 Sep 2023 23:41:03 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_4139 sw_services: XilSecure: Input Validations are added for xsecure_elliptic.c file xilpm: examples: Integrate self-suspend example into vitisng/rigle flow sw_services:xilnvm:server: Avoid returning XST_SUCCESS incase of glitch sw_services:xilnvm:client: Avoid returning XST_SUCCESS incase of glitch sw_services: xilnvm: Add volatile keyword to avoid optimization sw_services: xilnvm: Fix assigning Status to XST_SUCCESS sw_services: xilnvm: Fix SW-BP-REDUNDANCY in XNvm_EfuseWriteDmeRevoke and XNvm_EfusePrgmIv lib: sw_apps: Fix race condition in the app CMakeLists.txt for sdt flow versal: Fix for ssit security review comments wdttb: Fixed MISRA-C violation 10.4 wdttb: Fix MISRA C violation 12.1 Fix internal security review comments bsp:standalone: Fixed source code-format issue. bsp:standalone: Fix MISRA-C violation for Rule 12.1 bsp:standalone: Fix MISRA-C violation for Rule 7.2 bsp:standalone: Fix MISRA-C violation for Directive 4.6 bsp:standalone: Fix Misra-c violation for Rule 10.3 xilpm:versal_net: save and restore PL devices hierarchy xilpm:versal_net: Restore missing Pl Devices during PLM update xloader:versal_net: save and restore image info table contents xilpm:versal_common: fix potential issue on array out of bound. updated for changelog xadcps and other components xilpm: versal: server: Fix MISRA-C violation for rule 8.13 xilpm: versal: server: Fix MISRA-C violation for rule 12.1 updated for changelog uartlite sw_services:xilocp:Fixes for Doxygen warnings dpdma: Fix MISRA-C rule 12.1 violation dpdma: Fix MISRA-C rule 15.5 violation Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index e86f2eaa..b635462f 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "8c698994fb414274b530a1d1d36146a3ca69f993" +ESW_REV[2023.2] = "6dd9d8283c5545c35353089e8ece1acb6c0d20b7" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 3142ae7db9ab448061030f81c3a857d9d8d1aff8 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Tue, 19 Sep 2023 12:04:21 +0530 Subject: Revert "gen_yocto_machine.py: Instead of ATF_CONSOLE_DEFAULT variable add ATF_CONSOLE" Signed-off-by: Siva Addepalli --- meta-xilinx-core/gen-machine-conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/gen-machine-conf b/meta-xilinx-core/gen-machine-conf index ae406ee0..911941fc 160000 --- a/meta-xilinx-core/gen-machine-conf +++ b/meta-xilinx-core/gen-machine-conf @@ -1 +1 @@ -Subproject commit ae406ee01558542afc0ab2002c37b0e9fd2d1c7d +Subproject commit 911941fc094dc0073c2f01a2b94de3cc6e993fe3 -- cgit v1.2.3-54-g00ecf From d25c9ba4d0d300df0cd0594cb011ba306811b8f3 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 19 Sep 2023 12:46:43 +0530 Subject: u-boot-xlnx : Updated SRCREV for 2023.2_8535 net: phy: xilinx-gmii2rgmii: Removed hardcoded phy address 0 for bridge Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index b9d6a16e..0473e4d4 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "ddaf9cd263bfce05bc7427f544b71a0cc75647ac" +SRCREV = "5e0cdf3feeaed4f8dc75c15f9f4ee4ccdff80d74" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From 658dc1c77ec2e6db8da533be68d9514628a446d6 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 19 Sep 2023 17:03:03 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_3699 sw_services:xilsecure:Fixed NO_EFFECT coverity warning scripts: pyesw: retarget_app: Add support for retargeting application for different platform scripts: pyesw: reconfig_bsp: Add support for shared platform/bsp Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index b635462f..1bc01b55 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "6dd9d8283c5545c35353089e8ece1acb6c0d20b7" +ESW_REV[2023.2] = "e7178d2069036c83173116c2ecd44e8487cc30bc" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 504e113159d4357e159f7d09405e2323b9edc586 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 20 Sep 2023 18:57:59 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_7327 arm64: zynqmp: Add output-enable pins to SOMs v4l: xilinx: xcsirxss: Fix YUV420 format Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index a464cdde..31f3a61f 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "4f412ed20fd2c5353c1e878ef9ed77952a5040a1" +SRCREV = "008e6788f5aa09862307822bfc396c926dc0dbac" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From e72a81faa5d8970e34891cf7703bfadd8a916c61 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 21 Sep 2023 20:17:07 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_8771 mtd: spi-nor: Fix Write failure in SST flashes spi: spi-cadence: Correct irq sequence for read Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 31f3a61f..9f25028b 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "008e6788f5aa09862307822bfc396c926dc0dbac" +SRCREV = "2c6eef04c4fd6ede2f4de2437f5760da8e84ace2" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From f5611000dc098e51c0c488bc48aea999b9edf1b7 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 22 Sep 2023 12:51:24 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_7391 sw_services:xilnvm:Fixed MISRA-C Rule 12.2 violation sw_services:xilnvm:Fixed MISRA-C Rule 8.3 violation sw_services:xilnvm:Fixed MISRA-C Rule 8.7 violation sw_services:xilnvm: Fixed MISRA-C Rule 2.5 violation reupdated emacps changelog sw_services: xilnvm: Fix review comments updated for changelog avbuf and other components sw_services: xilloader: Move KAT & hash calculation out of PLM_OCP macro trngpsv: Fix MISRA-C violation 2.5 trngpsv: Fix MISRA-C violations 8.13 trngpsv: Fix MISRA-C violations 12.1 trngpsv: Fix MISRA-C violations 10.1 sw_services:xilfpga: Fixed source code-format issues sw_services:xilfpga: Fix MISRA-C violations for Rule 10.3 v_hdmitxss1: updated defines for tx pre and post cursor v_hdmirxss1: updated define for pre and post cursor Updated for cframe and other components sw_services:xilsecure:zynqmp:Fixed MISRA-C Rule 15.6 violation sw_services:xilsecure:zynqmp: Fixed MISRA-C Rule 17.8 violation sw_services:xilsecure:zynqmp: Fixed MISRA-C Rule 7.2 sw_services:xilsecure:zynqmp: Fixed MISRA_C Rule 11.9 xilsecure:zynqmp: Fixed MISRA-C violations lib: sw_apps: Match title and description for OpenAMP and Libmetal apps xilsecure: Added Check for All Zero Exponent Case Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 1bc01b55..4074543e 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "e7178d2069036c83173116c2ecd44e8487cc30bc" +ESW_REV[2023.2] = "a27988ee36e7402ee991778aae462bf27ae70295" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 2260d3c48ab6095a603b963cb2f35c4ef2066e94 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 22 Sep 2023 21:58:30 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_3335 sw_services: xilloader: Use correct mask to indicate KAT for SHA3 Instance 1 is run xilocp: Allowed PCR mask for GetPcr shall be 0xFF Revert "sw_services: xilloader: Move KAT & hash calculation out of PLM_OCP macro" sw_services:xilsecure:Fix HIS COMF Violations for server. re-updated for changelog xilpm versal_psmfw: assert reset in power down sequence xilpm: versal_net: assert reset before setting operation mode xilpm: server: Fix max DDRMC count in PlDev -> MemCtrlr link Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 4074543e..85f0317c 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "a27988ee36e7402ee991778aae462bf27ae70295" +ESW_REV[2023.2] = "71b2d15b9b6c2341488f7ed47640e9b28ecacb43" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 9c74ee2982aba468f096069178973176f28b1d78 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 22 Sep 2023 21:54:22 +0530 Subject: u-boot-xlnx : Updated SRCREV for 2023.2_3243 net: zynq_gem: Update the MDC clock divisor in the probe function Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc index 0473e4d4..0cfbd846 100644 --- a/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc +++ b/meta-xilinx-core/recipes-bsp/u-boot/u-boot-xlnx-2023.2.inc @@ -2,7 +2,7 @@ UBOOT_VERSION = "v2023.01" UBRANCH = "xlnx_rebase_v2023.01" -SRCREV = "5e0cdf3feeaed4f8dc75c15f9f4ee4ccdff80d74" +SRCREV = "0fc19cad5a07a09958443e7a5b6f11e420ef195c" LICENSE = "GPL-2.0-or-later" LIC_FILES_CHKSUM = "file://README;beginline=1;endline=4;md5=744e7e3bb0c94b4b9f6b3db3bf893897" -- cgit v1.2.3-54-g00ecf From deec333f32065037e273776cb583f4647f13c379 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Sat, 23 Sep 2023 12:51:24 +0530 Subject: aie-rt : Updated SRCREV for 2023.2_2687 driver:src: xaiengine directory & include files are missing Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 8271189d..0b12173c 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "xlnx_rel_v2023.2" -SRCREV ?= "600c74adc4759a1df40f767edf11b41949b52728" +SRCREV ?= "e75d9528bf4cbb1506e1b02cbba73cd90133b42b" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=04a153cae61a8a606fc79dff49c2c897" -- cgit v1.2.3-54-g00ecf From a04fc0c769c749950de0a8e7ad08719ba30d281e Mon Sep 17 00:00:00 2001 From: saumya garg Date: Fri, 22 Sep 2023 11:50:01 +0530 Subject: xrt, zocl: Update commit id MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit Changelog: fixed S2MM events for memory tiles (#7711) Search for specified xclbin in cwd and repo if available (#7710) add interface uuid into xgq_vmr host driver for linux upstream project (#7704) Xbutil reset issue fixed related to hwmon (#7706) Accessing device memory from host is enabled now in emulation (#7708) including DMA-only tiles in 'all' (#7709) Add test for exporting and importing buffer on same device (#7707) CR-1175636 Performance mode not valid for device (#7705) CR-1114740 xbutil examine -d -r 'aieshim' console output does n… (#7701) Catching exceptions when XDP plugins are loaded (#7703) CR-1175649 Always wait for command completion through hwqueue in SHIM layer (#7702) Add xrt::version APIs (#7696) CR-1155098 Add missing logic for host-mem status (#7698) sleep for 1 second to yiled cpu (#7700) Adding RAVE device id to XRT (#7699) Update ulite function to match newer Linux kernel (#7695) Return ENODATA Error code if bitstream is not present (#7694) Fixed PS kernel only xclbin download issue (#7674) CR-1174490: Fixing crash when turning on aie_profile on systems without AIE (#7697) [CR-1161728]: emconfig.json path issue if host code is in python. (#7687) fixed port selection in trace (#7693) Signed-off-by: saumya garg Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index cbdee970..b0b19427 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -3,7 +3,7 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG};name=xrt" BRANCH= "master" -SRCREV_xrt = "efdc361cbecfd9e2968d632b4606bdf9276268dc" +SRCREV_xrt = "f978a3c3ac72197564ce51cf30712f9dfddf28cd" PV = "202320.2.16.0" SRC_URI += "git://github.com/Xilinx/dma_ip_drivers.git;branch=master;name=dma_ip_drivers;destsuffix=git/src/runtime_src/core/pcie/driver/linux/xocl/lib/libqdma;protocol=https" -- cgit v1.2.3-54-g00ecf From 55e94b3042398422a2034b1c3ed4db6472eb48bb Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 25 Sep 2023 12:51:31 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_6539 sw_services: xilcert: Replace XSecure_MemCpy64 with Xil_SMemCpy sw_services: xilcert: Fix the value of UEID extension scripts: pyesw: reconfig_bsp: Add support for older platforms/bsp axidma: Fix simple interrupt example for SDT flow Xilsecure: Add version header file for client mode VersalNet: Disable cache for xilpuf and xilnvm libraries xilplmi: fixed missing header inclusion lib: sw_apps: Update the User Compile and Link options for c++ sources lib: sw_apps: Fix linker script LINK_DEPENDS in system device-tree flow updated for changelog vtc uartps: Fix xuartps_intr_example compilation errors in SDT flow sw_services:xilpuf:Fixed doxygen warnings sw_services:xilsecure:Fixed doxygen warnings Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 85f0317c..22584a66 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "71b2d15b9b6c2341488f7ed47640e9b28ecacb43" +ESW_REV[2023.2] = "26375a20f9790ee6b3afb180f6edb6fb70899257" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From ae34e7bcdad506013a1212609e55dadea0dfe150 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Mon, 25 Sep 2023 12:53:07 +0530 Subject: linux-xlnx : Updated SRCREV for 2023.2_1715 net: macb: Set MDIO clock divisor for pclk higher than 160MHz mtd: rawnand: arasan: Avoid overwriting valid data while checking for bitflips during HW-ECC read Revert "mtd: rawnand: arasan: Use on-host ecc engine in hw-ecc mode" Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb index 9f25028b..8842cb10 100644 --- a/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb +++ b/meta-xilinx-core/recipes-kernel/linux/linux-xlnx_2023.2.bb @@ -1,7 +1,7 @@ LINUX_VERSION = "6.1.30" YOCTO_META ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=yocto-6.1;destsuffix=yocto-kmeta" KBRANCH="xlnx_rebase_v6.1_LTS" -SRCREV = "2c6eef04c4fd6ede2f4de2437f5760da8e84ace2" +SRCREV = "a19da02cf5b44420ec6afb1eef348c21d9e8cda2" SRCREV_meta = "185bcfcbe480c742247d9117011794c69682914f" KCONF_AUDIT_LEVEL="0" -- cgit v1.2.3-54-g00ecf From 90f3a2744676c70ce9d67db3398416ee48cfe4cd Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 26 Sep 2023 12:53:04 +0530 Subject: dts : Updated SRCREV for 2023.2_9163 versal: Rename VEK280 cosim board Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb index f6791406..d41743c1 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-devicetrees_2023.2.bb @@ -2,5 +2,5 @@ require qemu-devicetrees.inc BRANCH ?= "xlnx_rel_v2023.2" -SRCREV ?= "86b1a621919f2fb27e5ef4120fcacde67d43368d" +SRCREV ?= "d1013382d9a5ef816cd020e7840813b7a2d65c51" -- cgit v1.2.3-54-g00ecf From 9108010f9eb4602a8c60ab57c000a3e061e00067 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Tue, 26 Sep 2023 13:10:42 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_5263 Revert "uartps: Fix xuartps_intr_example compilation errors in SDT flow" sw_services:xilsecure:Fixed MISRA-C Rule 12.2 violation sw_services:xilsecure:Fixed compilation warning for ecdsa example sw_services:xilsecure:Fixed MISRA-C Rule 10.3 and 10.4 violations sw_services:xilsecure:Fixed misra-c Rule 8.13 violation sw_services:xilsecure:Fixed coverity warnings updated for ddrcpsu changelog Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 22584a66..9be0d1eb 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "26375a20f9790ee6b3afb180f6edb6fb70899257" +ESW_REV[2023.2] = "fc4af27d47b5077522e98e41b44ff0e83d8ea2cd" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 6609c53110530de0b977bccae7e132f7f16a1e1e Mon Sep 17 00:00:00 2001 From: saumya garg Date: Tue, 26 Sep 2023 11:17:45 +0530 Subject: xrt: Final commit id for 2023.2 release Changelog: fixed TLAST based events (#7718) Fix for CR:1163285-changing field name (#7721) (#7722) CR-1160311 xbflash2 tool doc update (#7716) (#7719) Signed-off-by: saumya garg Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index b0b19427..067e58d7 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -2,8 +2,8 @@ REPO ?= "git://github.com/Xilinx/XRT.git;protocol=https" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG};name=xrt" -BRANCH= "master" -SRCREV_xrt = "f978a3c3ac72197564ce51cf30712f9dfddf28cd" +BRANCH= "2023.2" +SRCREV_xrt = "323fc40274fc0cf44b253925385613aa742050cf" PV = "202320.2.16.0" SRC_URI += "git://github.com/Xilinx/dma_ip_drivers.git;branch=master;name=dma_ip_drivers;destsuffix=git/src/runtime_src/core/pcie/driver/linux/xocl/lib/libqdma;protocol=https" -- cgit v1.2.3-54-g00ecf From e091ac343fd937463f43ef20ee72442a5ff700fc Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 27 Sep 2023 14:33:17 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_6263 scripts: pyesw: library_utils: Pull the xilflash library irrespective of the hardware dependency Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 9be0d1eb..aa558038 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "fc4af27d47b5077522e98e41b44ff0e83d8ea2cd" +ESW_REV[2023.2] = "cb3901374f25eb6d85976c87730fad98dc9f3622" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 1208ea87db4a5444514b72f98fdb8599ab861f51 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 29 Sep 2023 11:55:53 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_6459 update Embedded SW license 2023.2 release Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index aa558038..5c23ba88 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "cb3901374f25eb6d85976c87730fad98dc9f3622" +ESW_REV[2023.2] = "3be255338c1cede23b9dc83e5a44369b63042ddd" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" @@ -16,7 +16,7 @@ EMBEDDEDSW_SRCURI ?= "${REPO};${EMBEDDEDSW_BRANCHARG}" LICENSE = "MIT" LIC_FILES_CHKSUM[xlnx_rel_v2023.1] = '3c310a3ee2197a4c92c6a0e2937c207c' -LIC_FILES_CHKSUM[xlnx_rel_v2023.2-next] = '6677b545d223964a4906f97a2229bfc5' +LIC_FILES_CHKSUM[xlnx_rel_v2023.2-next] = '9fceecdbcad88698f265578f3d4cb26c' LIC_FILES_CHKSUM ??= "file://license.txt;md5=${@d.getVarFlag('LIC_FILES_CHKSUM', d.getVar('BRANCH')) or '0'}" SRC_URI = "${EMBEDDEDSW_SRCURI}" -- cgit v1.2.3-54-g00ecf From 70e0bf55be4cadbbe9c728b4f1a2966220bdb1e0 Mon Sep 17 00:00:00 2001 From: Jose Quaresma Date: Thu, 28 Sep 2023 08:44:12 -0600 Subject: README.building.md: fix bitbake-layers remove layer Based on github Pull Request #59. Signed-off-by: Jose Quaresma Update commit message and added PR number in commit message. Signed-off-by: Sandeep Gundlupet Raju --- README.building.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/README.building.md b/README.building.md index 8bb866a7..7ffc1ab3 100644 --- a/README.building.md +++ b/README.building.md @@ -31,7 +31,7 @@ $ source poky/oe-init-build-env 4. Once initialized configure `bblayers.conf` by adding dependency layers as shown below using `bitbake-layers` command. > **Note:** From step 3 by default `meta-yocto-bsp` will be included in bblayers.conf -> file and this can be removed using `$ bitbake-layers add-layer meta-yocto-bsp` +> file and this can be removed using `$ bitbake-layers remove-layer meta-yocto-bsp` > command. ``` -- cgit v1.2.3-54-g00ecf From 98ce3f1bca175168d24bf41a2b50f01a53af6dd0 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Wed, 4 Oct 2023 15:00:51 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_8615 scripts: pyesw: build_app: Add command line arg to specify .repo.yaml path Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 5c23ba88..9249ed8e 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "3be255338c1cede23b9dc83e5a44369b63042ddd" +ESW_REV[2023.2] = "2197dfbc59086e9fe15587a0df92d98184de6f67" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 9711aa74554f1afed5358d36cb9ba661abeb8e30 Mon Sep 17 00:00:00 2001 From: Mark Hatle Date: Tue, 3 Oct 2023 15:36:42 -0500 Subject: embeddedsw: Update to release version Move to release branch, which is rebased from the dev branch Signed-off-by: Mark Hatle --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index 9249ed8e..c940b154 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -4,11 +4,11 @@ ESW_VER ?= "${@bb.parse.vars_from_file(d.getVar('FILE', False),d)[1] or 'master' REPO ??= "git://github.com/Xilinx/embeddedsw.git;protocol=https" ESW_BRANCH[2023.1] = "xlnx_rel_v2023.1" -ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2-next" +ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "2197dfbc59086e9fe15587a0df92d98184de6f67" +ESW_REV[2023.2] = "19ade382dd3b8a89aa737d9a67ceb2d28c6ab1bc" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" @@ -16,7 +16,7 @@ EMBEDDEDSW_SRCURI ?= "${REPO};${EMBEDDEDSW_BRANCHARG}" LICENSE = "MIT" LIC_FILES_CHKSUM[xlnx_rel_v2023.1] = '3c310a3ee2197a4c92c6a0e2937c207c' -LIC_FILES_CHKSUM[xlnx_rel_v2023.2-next] = '9fceecdbcad88698f265578f3d4cb26c' +LIC_FILES_CHKSUM[xlnx_rel_v2023.2] = '9fceecdbcad88698f265578f3d4cb26c' LIC_FILES_CHKSUM ??= "file://license.txt;md5=${@d.getVarFlag('LIC_FILES_CHKSUM', d.getVar('BRANCH')) or '0'}" SRC_URI = "${EMBEDDEDSW_SRCURI}" -- cgit v1.2.3-54-g00ecf From 8c0bc6c8dbe46fa024083789ef8649240e23e690 Mon Sep 17 00:00:00 2001 From: Siva Addepalli Date: Tue, 3 Oct 2023 12:48:42 +0530 Subject: Updated SRCREV of vdu-firmware for 2023.2_5515 fix: data cache issue Signed-off-by: Siva Addepalli Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) mode change 100755 => 100644 meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb diff --git a/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb b/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb old mode 100755 new mode 100644 index 4deb1f51..e9ef222b --- a/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb +++ b/meta-xilinx-core/recipes-multimedia/vdu/vdu-firmware_2023.2.bb @@ -15,7 +15,7 @@ REQUIRED_MACHINE_FEATURES = "vdu" BRANCH ?= "xlnx_rel_v2023.2" REPO ?= "git://github.com/Xilinx/vdu-firmware.git;protocol=https" -SRCREV ?= "63fe2fce6e46d5bf03e33300a58a37d8568722ee" +SRCREV ?= "731897772730178f6a4e77eedeb4fb53faa1ab4d" BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != '']}" SRC_URI = "${REPO};${BRANCHARG}" -- cgit v1.2.3-54-g00ecf From 3c7ca26c2b0e0490d47fe81b50fbe90f79542ebf Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 5 Oct 2023 12:51:11 +0530 Subject: aie-rt : Updated SRCREV for 2023.2_6187 driver:src: AIE decoupling build bsp & build app failed Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc index 0b12173c..11059465 100644 --- a/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc +++ b/meta-xilinx-core/recipes-bsp/ai-engine/aie-rt.inc @@ -3,7 +3,7 @@ SECTION = "libs" REPO ?= "git://github.com/Xilinx/aie-rt.git;protocol=https" BRANCH ?= "xlnx_rel_v2023.2" -SRCREV ?= "e75d9528bf4cbb1506e1b02cbba73cd90133b42b" +SRCREV ?= "84debe5d22c144fb09269b8410df4cb8a6aa3b2a" LICENSE = "BSD-3-Clause" LIC_FILES_CHKSUM ?= "file://license.txt;md5=04a153cae61a8a606fc79dff49c2c897" -- cgit v1.2.3-54-g00ecf From c69f1e3d2675ded6d2a777a743c4eb424c9eea03 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 5 Oct 2023 12:46:19 +0530 Subject: qemu : Updated SRCREV for 2023.2_1999 arm: Add support for r52 IMP_PERIPHPREGIONR register Signed-off-by: Siva Addepalli --- meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc index d3effa9a..db6e1528 100644 --- a/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc +++ b/meta-xilinx-core/recipes-devtools/qemu/qemu-xilinx-2023.2.inc @@ -1,3 +1,3 @@ XILINX_QEMU_VERSION = "v7.1.0" BRANCH = "xlnx_rel_v2023.2" -SRCREV = "5b17802c28879d2150df5ea16d8719aab3ee26a0" +SRCREV = "23b643ba1683a47ef49447a45643fe2172d6f8ca" -- cgit v1.2.3-54-g00ecf From cae2a450d1aeb5abdbac594018bbfc8c23a636eb Mon Sep 17 00:00:00 2001 From: saumya garg Date: Wed, 4 Oct 2023 21:47:24 +0530 Subject: xrt, zocl: Update commit id for 2023.2 Changelog: backport the xbutil validate issue for ps kernel (#7732) Signed-off-by: saumya garg Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 067e58d7..17290650 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -3,7 +3,7 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG};name=xrt" BRANCH= "2023.2" -SRCREV_xrt = "323fc40274fc0cf44b253925385613aa742050cf" +SRCREV_xrt = "d69410b4338f4941df280d769615238f25cd5094" PV = "202320.2.16.0" SRC_URI += "git://github.com/Xilinx/dma_ip_drivers.git;branch=master;name=dma_ip_drivers;destsuffix=git/src/runtime_src/core/pcie/driver/linux/xocl/lib/libqdma;protocol=https" -- cgit v1.2.3-54-g00ecf From 5f3b406206690c18fa5dc77f3feed4c01ace8274 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Fri, 6 Oct 2023 12:50:40 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_4971 scripts: pyesw: open_amp: Extend cleanup support for Libmetal and OpenAMP scripts: pyesw: open_amp: Simplify openamp-lopper run scripts: pyesw: open_amp: Add support for Zynq for OpenAMP and Libmetal in BSP scripts: Enable OpenAMP and Libmetal demos to mimic convention of other ESW apps Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index c940b154..f5fc133c 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "19ade382dd3b8a89aa737d9a67ceb2d28c6ab1bc" +ESW_REV[2023.2] = "bd497446bded94d1ea627096127587f60c9b8db6" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf From 8b1d3589fbd11a22285c9a4c04a98a7a0aafbe5a Mon Sep 17 00:00:00 2001 From: saumya garg Date: Sat, 7 Oct 2023 10:32:14 +0530 Subject: xrt, zocl: Final commit id for 2023.2 Changelog: fix for AIE1 status (#7735) Signed-off-by: saumya garg Signed-off-by: Mark Hatle --- meta-xilinx-core/recipes-xrt/xrt/xrt.inc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc index 17290650..14b2b968 100644 --- a/meta-xilinx-core/recipes-xrt/xrt/xrt.inc +++ b/meta-xilinx-core/recipes-xrt/xrt/xrt.inc @@ -3,7 +3,7 @@ BRANCHARG = "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH', True) != ' SRC_URI = "${REPO};${BRANCHARG};name=xrt" BRANCH= "2023.2" -SRCREV_xrt = "d69410b4338f4941df280d769615238f25cd5094" +SRCREV_xrt = "2865a62b6a417dea523d2d5646154aa94a2cbc28" PV = "202320.2.16.0" SRC_URI += "git://github.com/Xilinx/dma_ip_drivers.git;branch=master;name=dma_ip_drivers;destsuffix=git/src/runtime_src/core/pcie/driver/linux/xocl/lib/libqdma;protocol=https" -- cgit v1.2.3-54-g00ecf From 05911e19d85fd98c42054238392afe95a5125265 Mon Sep 17 00:00:00 2001 From: Sivaprasad Addepalli Date: Thu, 12 Oct 2023 21:44:13 +0530 Subject: embeddedsw : Updated SRCREV for 2023.2_9035 xilpm: versal: server: Remove XRAM axi-lite clock selection Signed-off-by: Siva Addepalli --- meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass index f5fc133c..12db0da2 100644 --- a/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass +++ b/meta-xilinx-standalone/classes/xlnx-embeddedsw.bbclass @@ -8,7 +8,7 @@ ESW_BRANCH[2023.2] = "xlnx_rel_v2023.2" BRANCH ??= "${@d.getVarFlag('ESW_BRANCH', d.getVar('ESW_VER')) or '${ESW_VER}'}" ESW_REV[2023.1] = "e24fe92b5517ee447e560790e798cad792f53bab" -ESW_REV[2023.2] = "bd497446bded94d1ea627096127587f60c9b8db6" +ESW_REV[2023.2] = "c9a0ee31b2a14cbcfcb56ca369037319b4ad4847" SRCREV ??= "${@d.getVarFlag('ESW_REV', d.getVar('ESW_VER')) or '${AUTOREV}'}" EMBEDDEDSW_BRANCHARG ?= "${@['nobranch=1', 'branch=${BRANCH}'][d.getVar('BRANCH') != '']}" -- cgit v1.2.3-54-g00ecf