summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorJacob Stiffler <j-stiffler@ti.com>2019-11-15 21:14:55 +0000
committerDenys Dmytriyenko <denys@ti.com>2019-12-06 22:38:10 +0000
commitc2c52453d30f0788844520ad701fabf39b1086da (patch)
treeacd4db6418491f7180a4a2e03d46fde291e556e8
parenteda458e5a650680080b68ba2d6e17240200ee40d (diff)
downloadmeta-ti-c2c52453d30f0788844520ad701fabf39b1086da.tar.gz
uart-lld: migrate to ti-pdk-fetch.bbclass
Signed-off-by: Jacob Stiffler <j-stiffler@ti.com> Signed-off-by: Denys Dmytriyenko <denys@ti.com>
-rw-r--r--recipes-bsp/uart-lld/uart-lld-rtos_git.bb18
1 files changed, 5 insertions, 13 deletions
diff --git a/recipes-bsp/uart-lld/uart-lld-rtos_git.bb b/recipes-bsp/uart-lld/uart-lld-rtos_git.bb
index f279a670..f293fdda 100644
--- a/recipes-bsp/uart-lld/uart-lld-rtos_git.bb
+++ b/recipes-bsp/uart-lld/uart-lld-rtos_git.bb
@@ -1,7 +1,11 @@
1SUMMARY = "TI RTOS low level driver for UART" 1SUMMARY = "TI RTOS low level driver for UART"
2DESCRIPTION = "TI RTOS low level driver for Universal Asynchronous Receiver/Transmitter (UART) module " 2DESCRIPTION = "TI RTOS low level driver for Universal Asynchronous Receiver/Transmitter (UART) module "
3 3
4inherit ti-pdk 4inherit ti-pdk ti-pdk-fetch
5
6TI_PDK_COMP = "ti.drv.uart"
7
8PE = "1"
5 9
6LICENSE = "BSD-3-Clause" 10LICENSE = "BSD-3-Clause"
7LIC_FILES_CHKSUM = "file://COPYING.txt;beginline=1;endline=31;md5=94b6a199da1caf777f6756cb70aca4a7" 11LIC_FILES_CHKSUM = "file://COPYING.txt;beginline=1;endline=31;md5=94b6a199da1caf777f6756cb70aca4a7"
@@ -9,18 +13,6 @@ LIC_FILES_CHKSUM = "file://COPYING.txt;beginline=1;endline=31;md5=94b6a199da1caf
9COMPATIBLE_MACHINE = "ti33x|ti43x|omap-a15|keystone|omapl1|c66x|k3" 13COMPATIBLE_MACHINE = "ti33x|ti43x|omap-a15|keystone|omapl1|c66x|k3"
10PACKAGE_ARCH = "${MACHINE_ARCH}" 14PACKAGE_ARCH = "${MACHINE_ARCH}"
11 15
12UART_LLD_GIT_URI = "git://git.ti.com/keystone-rtos/uart-lld.git"
13UART_LLD_GIT_PROTOCOL = "git"
14UART_LLD_GIT_BRANCH = "master"
15
16# Below commit ID corresponds to "DEV.UART_LLD.01.00.00.16B"
17UART_LLD_SRCREV = "227feb6e1da72bf0a785d95b7aaffd3216885f95"
18
19BRANCH = "${UART_LLD_GIT_BRANCH}"
20SRC_URI = "${UART_LLD_GIT_URI};protocol=${UART_LLD_GIT_PROTOCOL};branch=${BRANCH}"
21
22SRCREV = "${UART_LLD_SRCREV}"
23PV = "01.00.00.16B"
24PR = "r0" 16PR = "r0"
25 17
26DEPENDS_append = " edma3-lld-rtos \ 18DEPENDS_append = " edma3-lld-rtos \