summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--CHANGELOG4
-rw-r--r--README4
-rw-r--r--classes/uefi-comboapp.bbclass6
-rw-r--r--conf/include/maintainers.inc104
-rw-r--r--conf/machine/include/intel-common-pkgarch.inc26
-rw-r--r--conf/machine/include/meta-intel.inc14
-rw-r--r--conf/machine/include/tune-skylake.inc10
-rw-r--r--conf/machine/intel-core2-32.conf2
-rw-r--r--conf/machine/intel-corei7-64.conf4
-rw-r--r--conf/machine/intel-skylake-64.conf4
-rw-r--r--dynamic-layers/clang-layer/recipes-core/dnn/onednn_2.2.3.bb6
-rw-r--r--dynamic-layers/clang-layer/recipes-core/ispc/ispc_1.16.0.bb2
-rw-r--r--dynamic-layers/clang-layer/recipes-devtools/clang/llvm-project-source.bbappend8
-rw-r--r--dynamic-layers/clang-layer/recipes-opencl/compute-runtime/intel-compute-runtime_21.04.18912.bb16
-rw-r--r--dynamic-layers/clang-layer/recipes-opencl/igc/intel-graphics-compiler_1.0.6646.bb8
-rw-r--r--dynamic-layers/clang-layer/recipes-opencl/opencl-clang/opencl-clang_10.0.0.bb8
-rw-r--r--dynamic-layers/clang-layer/recipes-opencl/opencl-clang/opencl-clang_11.0.0.bb8
-rw-r--r--dynamic-layers/clang-layer/recipes-opencl/opencl-clang/opencl-clang_12.0.0.bb6
-rw-r--r--dynamic-layers/clang-layer/recipes-opencl/vc-intrinsics/vc-intrinsics_1.0.bb2
-rw-r--r--dynamic-layers/meta-python/recipes-opencv/dldt/openvino-model-optimizer_2021.3.bb4
-rw-r--r--dynamic-layers/openembedded-layer/recipes-bsp/amt/lms_2109.0.0.0.bb10
-rw-r--r--dynamic-layers/openembedded-layer/recipes-bsp/thermald/thermald_2.4.4.bb8
-rw-r--r--dynamic-layers/openembedded-layer/recipes-core/levelzero/level-zero_1.2.3.bb12
-rw-r--r--dynamic-layers/openembedded-layer/recipes-oneapi/openvkl/openvkl_0.13.0.bb2
-rw-r--r--dynamic-layers/openembedded-layer/recipes-oneapi/ospray/ospray_2.6.0.bb2
-rw-r--r--dynamic-layers/openembedded-layer/recipes-support/ipmctl/ipmctl_03.00.00.0341.bb2
-rw-r--r--dynamic-layers/openembedded-layer/recipes-support/opencv/open-model-zoo_2021.3.bb6
-rw-r--r--dynamic-layers/openembedded-layer/recipes-support/opencv/openvino-inference-engine_2021.3.bb18
-rw-r--r--recipes-bsp/formfactor/formfactor_0.0.bbappend2
-rw-r--r--recipes-core/images/core-image-minimal-initramfs.bbappend2
-rw-r--r--recipes-core/libxcam/libxcam_1.5.0.bb10
-rw-r--r--recipes-core/microcode/intel-microcode_20210608.bb2
-rw-r--r--recipes-core/microcode/iucode-tool_2.3.1.bb4
-rw-r--r--recipes-core/ovmf/ovmf-shell-image-enrollkeys.bb4
-rw-r--r--recipes-core/ovmf/ovmf_%.bbappend6
-rw-r--r--recipes-core/zlib/zlib-intel_1.2.11.1.jtkv6.3.bb10
-rw-r--r--recipes-graphics/gmmlib/gmmlib_21.2.1.bb2
-rw-r--r--recipes-graphics/hdcp/hdcp_21.1.0.bb4
-rw-r--r--recipes-graphics/libva/libva-intel-utils_2.12.0.bb2
-rw-r--r--recipes-graphics/libva/libva-intel_2.12.0.bb18
-rw-r--r--recipes-kernel/intel-ethernet/ixgbe_5.11.3.bb8
-rw-r--r--recipes-kernel/intel-ethernet/ixgbevf_4.11.1.bb8
-rw-r--r--recipes-kernel/iwlwifi/backport-iwlwifi_git.bb8
-rw-r--r--recipes-kernel/linux/linux-intel-dev.bb8
-rw-r--r--recipes-kernel/linux/linux-intel-rt_5.10.bb2
-rw-r--r--recipes-kernel/linux/linux-intel-rt_5.4.bb2
-rw-r--r--recipes-kernel/linux/linux-intel.inc10
-rw-r--r--recipes-kernel/linux/linux-intel_5.10.bb8
-rw-r--r--recipes-kernel/linux/linux-intel_5.4.bb6
-rw-r--r--recipes-kernel/linux/linux-yocto-dev.bbappend22
-rw-r--r--recipes-kernel/linux/linux-yocto_%.bbappend2
-rw-r--r--recipes-multimedia/itt/itt_3.18.13.bb2
-rw-r--r--recipes-multimedia/libva/intel-media-driver_21.2.3.bb12
-rw-r--r--recipes-multimedia/libva/intel-vaapi-driver_2.4.1.bb6
-rw-r--r--recipes-multimedia/libyami/libyami_1.3.2.bb2
-rw-r--r--recipes-multimedia/mediasdk/intel-mediasdk_21.2.3.bb10
-rw-r--r--recipes-multimedia/onevpl/onevpl-intel-gpu_21.3.0.bb2
-rw-r--r--recipes-multimedia/onevpl/onevpl_2021.4.0.bb6
-rw-r--r--recipes-selftest/images/secureboot-selftest-image-unsigned.bb4
-rw-r--r--recipes-support/jhi/jhi_1.41.bb22
-rw-r--r--recipes-support/libipt/libipt_2.0.4.bb4
-rw-r--r--recipes-support/sbsigntool/sbsigntool-native_git.bb2
62 files changed, 264 insertions, 264 deletions
diff --git a/CHANGELOG b/CHANGELOG
index a99cbb1f..2ed8af05 100644
--- a/CHANGELOG
+++ b/CHANGELOG
@@ -64,7 +64,7 @@ without having to use hardware. The virtio drivers are added via
64KERNEL_FEATURES_INTEL_COMMON. This prevents them from being added to 64KERNEL_FEATURES_INTEL_COMMON. This prevents them from being added to
65custom kernels by default. They can be removed by adding the 65custom kernels by default. They can be removed by adding the
66following to a conf or kernel bbappend file: 66following to a conf or kernel bbappend file:
67 KERNEL_FEATURES_INTEL_COMMON_remove = “cfg/virtio.scc” 67 KERNEL_FEATURES_INTEL_COMMON:remove = “cfg/virtio.scc”
68OVMF firmware is also built and can be used in order to emulate a UEFI 68OVMF firmware is also built and can be used in order to emulate a UEFI
69environment. A full runqemu command line for intel-corei7-64 could look 69environment. A full runqemu command line for intel-corei7-64 could look
70like this: 70like this:
@@ -84,4 +84,4 @@ setting, it will not work in as the primary MACHINE tune as the bootloader needs
84to be built as a 64bit binary. The setup for this would be as follows: 84to be built as a 64bit binary. The setup for this would be as follows:
85 require conf/multilib.conf 85 require conf/multilib.conf
86 MULTILIBS = "multilib:libx32 86 MULTILIBS = "multilib:libx32
87 DEFAULTTUNE_virtclass-multilib-libx32 = "corei7-64-x32" 87 DEFAULTTUNE:virtclass-multilib-libx32 = "corei7-64-x32"
diff --git a/README b/README
index f377c67b..58d6a505 100644
--- a/README
+++ b/README
@@ -206,12 +206,12 @@ based installer image instead of default wic image. To build it, you need to
206add below configuration to local.conf : 206add below configuration to local.conf :
207 207
208 WKS_FILE = "image-installer.wks.in" 208 WKS_FILE = "image-installer.wks.in"
209 IMAGE_FSTYPES_append = " ext4" 209 IMAGE_FSTYPES:append = " ext4"
210 IMAGE_TYPEDEP_wic = "ext4" 210 IMAGE_TYPEDEP_wic = "ext4"
211 INITRD_IMAGE_LIVE="core-image-minimal-initramfs" 211 INITRD_IMAGE_LIVE="core-image-minimal-initramfs"
212 do_image_wic[depends] += "${INITRD_IMAGE_LIVE}:do_image_complete" 212 do_image_wic[depends] += "${INITRD_IMAGE_LIVE}:do_image_complete"
213 do_rootfs[depends] += "virtual/kernel:do_deploy" 213 do_rootfs[depends] += "virtual/kernel:do_deploy"
214 IMAGE_BOOT_FILES_append = "\ 214 IMAGE_BOOT_FILES:append = "\
215 ${KERNEL_IMAGETYPE} \ 215 ${KERNEL_IMAGETYPE} \
216 microcode.cpio \ 216 microcode.cpio \
217 ${IMGDEPLOYDIR}/${IMAGE_BASENAME}-${MACHINE}.ext4;rootfs.img \ 217 ${IMGDEPLOYDIR}/${IMAGE_BASENAME}-${MACHINE}.ext4;rootfs.img \
diff --git a/classes/uefi-comboapp.bbclass b/classes/uefi-comboapp.bbclass
index 4ecc5535..a05e0ca0 100644
--- a/classes/uefi-comboapp.bbclass
+++ b/classes/uefi-comboapp.bbclass
@@ -4,8 +4,8 @@
4# it with the efi stub obtained from systemd-boot. 4# it with the efi stub obtained from systemd-boot.
5 5
6# Don't add syslinux or build an ISO 6# Don't add syslinux or build an ISO
7PCBIOS_forcevariable = "0" 7PCBIOS:forcevariable = "0"
8NOISO_forcevariable = "1" 8NOISO:forcevariable = "1"
9 9
10# image-live.bbclass will default INITRD_LIVE to the image INITRD_IMAGE creates. 10# image-live.bbclass will default INITRD_LIVE to the image INITRD_IMAGE creates.
11# We want behavior to be consistent whether or not "live" is in IMAGE_FSTYPES, so 11# We want behavior to be consistent whether or not "live" is in IMAGE_FSTYPES, so
@@ -138,7 +138,7 @@ build_efi_cfg() {
138 : 138 :
139} 139}
140 140
141populate_kernel_append() { 141populate_kernel:append() {
142 # The kernel and initrd are built into the app, so we don't need these 142 # The kernel and initrd are built into the app, so we don't need these
143 if [ -f $dest/initrd ]; then 143 if [ -f $dest/initrd ]; then
144 rm $dest/initrd 144 rm $dest/initrd
diff --git a/conf/include/maintainers.inc b/conf/include/maintainers.inc
index e23892f6..d23a0e99 100644
--- a/conf/include/maintainers.inc
+++ b/conf/include/maintainers.inc
@@ -1,54 +1,54 @@
1# This file contains a list of recipe maintainers for meta-intel 1# This file contains a list of recipe maintainers for meta-intel
2 2
3RECIPE_MAINTAINER_pn-backport-iwlwifi = "Naveen Saini <naveen.kumar.saini@intel.com>" 3RECIPE_MAINTAINER:pn-backport-iwlwifi = "Naveen Saini <naveen.kumar.saini@intel.com>"
4RECIPE_MAINTAINER_pn-core-image-rt = "Anuj Mittal <anuj.mittal@intel.com>" 4RECIPE_MAINTAINER:pn-core-image-rt = "Anuj Mittal <anuj.mittal@intel.com>"
5RECIPE_MAINTAINER_pn-core-image-rt-sdk = "Anuj Mittal <anuj.mittal@intel.com>" 5RECIPE_MAINTAINER:pn-core-image-rt-sdk = "Anuj Mittal <anuj.mittal@intel.com>"
6RECIPE_MAINTAINER_pn-core-image-tiny = "Naveen Saini <naveen.kumar.saini@intel.com>" 6RECIPE_MAINTAINER:pn-core-image-tiny = "Naveen Saini <naveen.kumar.saini@intel.com>"
7RECIPE_MAINTAINER_pn-core-image-minimal-initramfs = "Anuj Mittal <anuj.mittal@intel.com>" 7RECIPE_MAINTAINER:pn-core-image-minimal-initramfs = "Anuj Mittal <anuj.mittal@intel.com>"
8RECIPE_MAINTAINER_pn-embree = "Naveen Saini <naveen.kumar.saini@intel.com>" 8RECIPE_MAINTAINER:pn-embree = "Naveen Saini <naveen.kumar.saini@intel.com>"
9RECIPE_MAINTAINER_pn-gmmlib = "Lim Siew Hoon <siew.hoon.lim@intel.com>" 9RECIPE_MAINTAINER:pn-gmmlib = "Lim Siew Hoon <siew.hoon.lim@intel.com>"
10RECIPE_MAINTAINER_pn-hdcp = "Naveen Saini <naveen.kumar.saini@intel.com>" 10RECIPE_MAINTAINER:pn-hdcp = "Naveen Saini <naveen.kumar.saini@intel.com>"
11RECIPE_MAINTAINER_pn-intel-graphics-compiler = "Naveen Saini <naveen.kumar.saini@intel.com>" 11RECIPE_MAINTAINER:pn-intel-graphics-compiler = "Naveen Saini <naveen.kumar.saini@intel.com>"
12RECIPE_MAINTAINER_pn-intel-compute-runtime = "Naveen Saini <naveen.kumar.saini@intel.com>" 12RECIPE_MAINTAINER:pn-intel-compute-runtime = "Naveen Saini <naveen.kumar.saini@intel.com>"
13RECIPE_MAINTAINER_pn-intel-media-driver = "Lim Siew Hoon <siew.hoon.lim@intel.com>" 13RECIPE_MAINTAINER:pn-intel-media-driver = "Lim Siew Hoon <siew.hoon.lim@intel.com>"
14RECIPE_MAINTAINER_pn-intel-mediasdk = "Lim Siew Hoon <siew.hoon.lim@intel.com>" 14RECIPE_MAINTAINER:pn-intel-mediasdk = "Lim Siew Hoon <siew.hoon.lim@intel.com>"
15RECIPE_MAINTAINER_pn-intel-microcode = "Anuj Mittal <anuj.mittal@intel.com>" 15RECIPE_MAINTAINER:pn-intel-microcode = "Anuj Mittal <anuj.mittal@intel.com>"
16RECIPE_MAINTAINER_pn-intel-vaapi-driver = "Lim Siew Hoon <siew.hoon.lim@intel.com>" 16RECIPE_MAINTAINER:pn-intel-vaapi-driver = "Lim Siew Hoon <siew.hoon.lim@intel.com>"
17RECIPE_MAINTAINER_pn-ipmctl = "Anuj Mittal <anuj.mittal@intel.com>" 17RECIPE_MAINTAINER:pn-ipmctl = "Anuj Mittal <anuj.mittal@intel.com>"
18RECIPE_MAINTAINER_pn-isa-l = "Naveen Saini <naveen.kumar.saini@intel.com>" 18RECIPE_MAINTAINER:pn-isa-l = "Naveen Saini <naveen.kumar.saini@intel.com>"
19RECIPE_MAINTAINER_pn-ispc = "Naveen Saini <naveen.kumar.saini@intel.com>" 19RECIPE_MAINTAINER:pn-ispc = "Naveen Saini <naveen.kumar.saini@intel.com>"
20RECIPE_MAINTAINER_pn-itt = "Naveen Saini <naveen.kumar.saini@intel.com>" 20RECIPE_MAINTAINER:pn-itt = "Naveen Saini <naveen.kumar.saini@intel.com>"
21RECIPE_MAINTAINER_pn-ixgbe = "Naveen Saini <naveen.kumar.saini@intel.com>" 21RECIPE_MAINTAINER:pn-ixgbe = "Naveen Saini <naveen.kumar.saini@intel.com>"
22RECIPE_MAINTAINER_pn-ixgbevf = "Naveen Saini <naveen.kumar.saini@intel.com>" 22RECIPE_MAINTAINER:pn-ixgbevf = "Naveen Saini <naveen.kumar.saini@intel.com>"
23RECIPE_MAINTAINER_pn-iucode-tool = "Anuj Mittal <anuj.mittal@intel.com>" 23RECIPE_MAINTAINER:pn-iucode-tool = "Anuj Mittal <anuj.mittal@intel.com>"
24RECIPE_MAINTAINER_pn-jhi = "Naveen Saini <naveen.kumar.saini@intel.com>" 24RECIPE_MAINTAINER:pn-jhi = "Naveen Saini <naveen.kumar.saini@intel.com>"
25RECIPE_MAINTAINER_pn-level-zero = "Naveen Saini <naveen.kumar.saini@intel.com>" 25RECIPE_MAINTAINER:pn-level-zero = "Naveen Saini <naveen.kumar.saini@intel.com>"
26RECIPE_MAINTAINER_pn-libipt = "Naveen Saini <naveen.kumar.saini@intel.com>" 26RECIPE_MAINTAINER:pn-libipt = "Naveen Saini <naveen.kumar.saini@intel.com>"
27RECIPE_MAINTAINER_pn-libyami = "Anuj Mittal <anuj.mittal@intel.com>" 27RECIPE_MAINTAINER:pn-libyami = "Anuj Mittal <anuj.mittal@intel.com>"
28RECIPE_MAINTAINER_pn-libyami-utils = "Anuj Mittal <anuj.mittal@intel.com>" 28RECIPE_MAINTAINER:pn-libyami-utils = "Anuj Mittal <anuj.mittal@intel.com>"
29RECIPE_MAINTAINER_pn-libxcam = "Naveen Saini <naveen.kumar.saini@intel.com>" 29RECIPE_MAINTAINER:pn-libxcam = "Naveen Saini <naveen.kumar.saini@intel.com>"
30RECIPE_MAINTAINER_pn-linux-intel = "Anuj Mittal <anuj.mittal@intel.com>" 30RECIPE_MAINTAINER:pn-linux-intel = "Anuj Mittal <anuj.mittal@intel.com>"
31RECIPE_MAINTAINER_pn-linux-intel-rt = "Anuj Mittal <anuj.mittal@intel.com>" 31RECIPE_MAINTAINER:pn-linux-intel-rt = "Anuj Mittal <anuj.mittal@intel.com>"
32RECIPE_MAINTAINER_pn-linux-intel-dev = "Naveen Saini <naveen.kumar.saini@intel.com>" 32RECIPE_MAINTAINER:pn-linux-intel-dev = "Naveen Saini <naveen.kumar.saini@intel.com>"
33RECIPE_MAINTAINER_pn-lms = "Anuj Mittal <anuj.mittal@intel.com>" 33RECIPE_MAINTAINER:pn-lms = "Anuj Mittal <anuj.mittal@intel.com>"
34RECIPE_MAINTAINER_pn-metee = "Naveen Saini <naveen.kumar.saini@intel.com>" 34RECIPE_MAINTAINER:pn-metee = "Naveen Saini <naveen.kumar.saini@intel.com>"
35RECIPE_MAINTAINER_pn-metrics-discovery = "Naveen Saini <naveen.kumar.saini@intel.com>" 35RECIPE_MAINTAINER:pn-metrics-discovery = "Naveen Saini <naveen.kumar.saini@intel.com>"
36RECIPE_MAINTAINER_pn-oidn = "Naveen Saini <naveen.kumar.saini@intel.com>" 36RECIPE_MAINTAINER:pn-oidn = "Naveen Saini <naveen.kumar.saini@intel.com>"
37RECIPE_MAINTAINER_pn-onednn = "Naveen Saini <naveen.kumar.saini@intel.com>" 37RECIPE_MAINTAINER:pn-onednn = "Naveen Saini <naveen.kumar.saini@intel.com>"
38RECIPE_MAINTAINER_pn-onedpl = "Naveen Saini <naveen.kumar.saini@intel.com>" 38RECIPE_MAINTAINER:pn-onedpl = "Naveen Saini <naveen.kumar.saini@intel.com>"
39RECIPE_MAINTAINER_pn-onevpl = "Naveen Saini <naveen.kumar.saini@intel.com>" 39RECIPE_MAINTAINER:pn-onevpl = "Naveen Saini <naveen.kumar.saini@intel.com>"
40RECIPE_MAINTAINER_pn-onevpl-intel-gpu = "Yew Chang Ching <chang.ching.yew@intel.com>" 40RECIPE_MAINTAINER:pn-onevpl-intel-gpu = "Yew Chang Ching <chang.ching.yew@intel.com>"
41RECIPE_MAINTAINER_pn-open-model-zoo = "Anuj Mittal <anuj.mittal@intel.com>" 41RECIPE_MAINTAINER:pn-open-model-zoo = "Anuj Mittal <anuj.mittal@intel.com>"
42RECIPE_MAINTAINER_pn-opencl-clang = "Naveen Saini <naveen.kumar.saini@intel.com>" 42RECIPE_MAINTAINER:pn-opencl-clang = "Naveen Saini <naveen.kumar.saini@intel.com>"
43RECIPE_MAINTAINER_pn-openvino-inference-engine = "Anuj Mittal <anuj.mittal@intel.com>" 43RECIPE_MAINTAINER:pn-openvino-inference-engine = "Anuj Mittal <anuj.mittal@intel.com>"
44RECIPE_MAINTAINER_pn-openvino-model-optimizer = "Anuj Mittal <anuj.mittal@intel.com>" 44RECIPE_MAINTAINER:pn-openvino-model-optimizer = "Anuj Mittal <anuj.mittal@intel.com>"
45RECIPE_MAINTAINER_pn-openvkl = "Naveen Saini <naveen.kumar.saini@intel.com>" 45RECIPE_MAINTAINER:pn-openvkl = "Naveen Saini <naveen.kumar.saini@intel.com>"
46RECIPE_MAINTAINER_pn-ospray = "Naveen Saini <naveen.kumar.saini@intel.com>" 46RECIPE_MAINTAINER:pn-ospray = "Naveen Saini <naveen.kumar.saini@intel.com>"
47RECIPE_MAINTAINER_pn-ovmf-shell-image-enrollkeys = "Naveen Saini <naveen.kumar.saini@intel.com>" 47RECIPE_MAINTAINER:pn-ovmf-shell-image-enrollkeys = "Naveen Saini <naveen.kumar.saini@intel.com>"
48RECIPE_MAINTAINER_pn-rkcommon = "Naveen Saini <naveen.kumar.saini@intel.com>" 48RECIPE_MAINTAINER:pn-rkcommon = "Naveen Saini <naveen.kumar.saini@intel.com>"
49RECIPE_MAINTAINER_pn-sbsigntool-native = "Anuj Mittal <anuj.mittal@intel.com>" 49RECIPE_MAINTAINER:pn-sbsigntool-native = "Anuj Mittal <anuj.mittal@intel.com>"
50RECIPE_MAINTAINER_pn-secureboot-selftest-image-signed = "Anuj Mittal <anuj.mittal@intel.com>" 50RECIPE_MAINTAINER:pn-secureboot-selftest-image-signed = "Anuj Mittal <anuj.mittal@intel.com>"
51RECIPE_MAINTAINER_pn-secureboot-selftest-image-unsigned = "Anuj Mittal <anuj.mittal@intel.com>" 51RECIPE_MAINTAINER:pn-secureboot-selftest-image-unsigned = "Anuj Mittal <anuj.mittal@intel.com>"
52RECIPE_MAINTAINER_pn-thermald = "Anuj Mittal <anuj.mittal@intel.com>" 52RECIPE_MAINTAINER:pn-thermald = "Anuj Mittal <anuj.mittal@intel.com>"
53RECIPE_MAINTAINER_pn-xf86-video-ast = "Anuj Mittal <anuj.mittal@intel.com>" 53RECIPE_MAINTAINER:pn-xf86-video-ast = "Anuj Mittal <anuj.mittal@intel.com>"
54RECIPE_MAINTAINER_pn-zlib-intel = "Naveen Saini <naveen.kumar.saini@intel.com>" 54RECIPE_MAINTAINER:pn-zlib-intel = "Naveen Saini <naveen.kumar.saini@intel.com>"
diff --git a/conf/machine/include/intel-common-pkgarch.inc b/conf/machine/include/intel-common-pkgarch.inc
index d0f208bd..e50d9cb4 100644
--- a/conf/machine/include/intel-common-pkgarch.inc
+++ b/conf/machine/include/intel-common-pkgarch.inc
@@ -1,15 +1,15 @@
1INTEL_COMMON_PACKAGE_ARCH ?= "${TUNE_PKGARCH}-intel-common" 1INTEL_COMMON_PACKAGE_ARCH ?= "${TUNE_PKGARCH}-intel-common"
2PACKAGE_ARCH_pn-linux-intel = "${INTEL_COMMON_PACKAGE_ARCH}" 2PACKAGE_ARCH:pn-linux-intel = "${INTEL_COMMON_PACKAGE_ARCH}"
3PACKAGE_ARCH_pn-linux-intel-rt = "${INTEL_COMMON_PACKAGE_ARCH}" 3PACKAGE_ARCH:pn-linux-intel-rt = "${INTEL_COMMON_PACKAGE_ARCH}"
4PACKAGE_ARCH_pn-linux-intel-tiny = "${INTEL_COMMON_PACKAGE_ARCH}" 4PACKAGE_ARCH:pn-linux-intel-tiny = "${INTEL_COMMON_PACKAGE_ARCH}"
5PACKAGE_ARCH_pn-linux-intel-dev = "${INTEL_COMMON_PACKAGE_ARCH}" 5PACKAGE_ARCH:pn-linux-intel-dev = "${INTEL_COMMON_PACKAGE_ARCH}"
6PACKAGE_ARCH_pn-linux-yocto = "${INTEL_COMMON_PACKAGE_ARCH}" 6PACKAGE_ARCH:pn-linux-yocto = "${INTEL_COMMON_PACKAGE_ARCH}"
7PACKAGE_ARCH_pn-linux-yocto-rt = "${INTEL_COMMON_PACKAGE_ARCH}" 7PACKAGE_ARCH:pn-linux-yocto-rt = "${INTEL_COMMON_PACKAGE_ARCH}"
8PACKAGE_ARCH_pn-linux-yocto-tiny = "${INTEL_COMMON_PACKAGE_ARCH}" 8PACKAGE_ARCH:pn-linux-yocto-tiny = "${INTEL_COMMON_PACKAGE_ARCH}"
9PACKAGE_ARCH_pn-linux-yocto-dev = "${INTEL_COMMON_PACKAGE_ARCH}" 9PACKAGE_ARCH:pn-linux-yocto-dev = "${INTEL_COMMON_PACKAGE_ARCH}"
10PACKAGE_ARCH_pn-intel-microcode = "${INTEL_COMMON_PACKAGE_ARCH}" 10PACKAGE_ARCH:pn-intel-microcode = "${INTEL_COMMON_PACKAGE_ARCH}"
11PACKAGE_ARCH_pn-backport-iwlwifi = "${INTEL_COMMON_PACKAGE_ARCH}" 11PACKAGE_ARCH:pn-backport-iwlwifi = "${INTEL_COMMON_PACKAGE_ARCH}"
12PACKAGE_ARCH_pn-ixgbe = "${INTEL_COMMON_PACKAGE_ARCH}" 12PACKAGE_ARCH:pn-ixgbe = "${INTEL_COMMON_PACKAGE_ARCH}"
13PACKAGE_ARCH_pn-ixgbevf = "${INTEL_COMMON_PACKAGE_ARCH}" 13PACKAGE_ARCH:pn-ixgbevf = "${INTEL_COMMON_PACKAGE_ARCH}"
14PACKAGE_EXTRA_ARCHS_append += "${INTEL_COMMON_PACKAGE_ARCH}" 14PACKAGE_EXTRA_ARCHS:append += "${INTEL_COMMON_PACKAGE_ARCH}"
15MACHINEOVERRIDES =. "${INTEL_COMMON_PACKAGE_ARCH}:" 15MACHINEOVERRIDES =. "${INTEL_COMMON_PACKAGE_ARCH}:"
diff --git a/conf/machine/include/meta-intel.inc b/conf/machine/include/meta-intel.inc
index 19f7857d..db7c9c8b 100644
--- a/conf/machine/include/meta-intel.inc
+++ b/conf/machine/include/meta-intel.inc
@@ -14,8 +14,8 @@ PREFERRED_PROVIDER_nativesdk-zlib = "nativesdk-zlib"
14 14
15PREFERRED_VERSION_linux-intel ?= "5.10%" 15PREFERRED_VERSION_linux-intel ?= "5.10%"
16PREFERRED_VERSION_linux-intel-rt ?= "5.10%" 16PREFERRED_VERSION_linux-intel-rt ?= "5.10%"
17PREFERRED_VERSION_linux-intel_poky-altcfg ?= "5.4%" 17PREFERRED_VERSION_linux-intel:poky-altcfg ?= "5.4%"
18PREFERRED_VERSION_linux-intel-rt_poky-altcfg ?= "5.4%" 18PREFERRED_VERSION_linux-intel-rt:poky-altcfg ?= "5.4%"
19 19
20# Need to point to latest version of libva needed for media components 20# Need to point to latest version of libva needed for media components
21PREFERRED_PROVIDER_libva = "libva-intel" 21PREFERRED_PROVIDER_libva = "libva-intel"
@@ -35,20 +35,20 @@ XSERVER_X86_ASPEED_AST = "xf86-video-ast \
35 " 35 "
36 36
37# include the user space intel microcode loading support in the generated images. 37# include the user space intel microcode loading support in the generated images.
38MACHINE_ESSENTIAL_EXTRA_RDEPENDS_append = "${@bb.utils.contains('MACHINE_FEATURES', 'intel-ucode', ' intel-microcode', '', d)}" 38MACHINE_ESSENTIAL_EXTRA_RDEPENDS:append = "${@bb.utils.contains('MACHINE_FEATURES', 'intel-ucode', ' intel-microcode', '', d)}"
39 39
40# recommended extra packages common to all intel machines 40# recommended extra packages common to all intel machines
41MACHINE_EXTRA_RRECOMMENDS_append = " kernel-modules linux-firmware" 41MACHINE_EXTRA_RRECOMMENDS:append = " kernel-modules linux-firmware"
42MACHINE_ESSENTIAL_EXTRA_RRECOMMENDS_append = " kernel-module-i915 linux-firmware-i915" 42MACHINE_ESSENTIAL_EXTRA_RRECOMMENDS:append = " kernel-module-i915 linux-firmware-i915"
43 43
44# for the early boot time kernel microcode loading support, 44# for the early boot time kernel microcode loading support,
45# merge the microcode data in the final initrd image. 45# merge the microcode data in the final initrd image.
46INITRD_LIVE_prepend = "${@bb.utils.contains('MACHINE_FEATURES', 'intel-ucode', '${DEPLOY_DIR_IMAGE}/microcode.cpio ', '', d)}" 46INITRD_LIVE:prepend = "${@bb.utils.contains('MACHINE_FEATURES', 'intel-ucode', '${DEPLOY_DIR_IMAGE}/microcode.cpio ', '', d)}"
47 47
48DISK_SIGNATURE_UUID ??= "deadbeef-dead-beef-dead-beefdeadbeef" 48DISK_SIGNATURE_UUID ??= "deadbeef-dead-beef-dead-beefdeadbeef"
49 49
50EFI_PROVIDER ?= "systemd-boot" 50EFI_PROVIDER ?= "systemd-boot"
51EFI_PROVIDER_x86-x32 = "grub-efi" 51EFI_PROVIDER:x86-x32 = "grub-efi"
52 52
53# Add general MACHINEOVERRIDE for meta-intel 53# Add general MACHINEOVERRIDE for meta-intel
54MACHINEOVERRIDES =. "intel-x86-common:" 54MACHINEOVERRIDES =. "intel-x86-common:"
diff --git a/conf/machine/include/tune-skylake.inc b/conf/machine/include/tune-skylake.inc
index 4882600b..29740121 100644
--- a/conf/machine/include/tune-skylake.inc
+++ b/conf/machine/include/tune-skylake.inc
@@ -17,15 +17,15 @@ TUNE_CCARGS .= "${@bb.utils.contains('TUNE_FEATURES', 'skylake', ' -march=skylak
17# Extra tune selections 17# Extra tune selections
18 18
19AVAILTUNES += "skylake-64" 19AVAILTUNES += "skylake-64"
20TUNE_FEATURES_tune-skylake-64 = "${TUNE_FEATURES_tune-x86-64} skylake" 20TUNE_FEATURES:tune-skylake-64 = "${TUNE_FEATURES:tune-x86-64} skylake"
21BASE_LIB_tune-skylake-64 = "lib64" 21BASE_LIB:tune-skylake-64 = "lib64"
22TUNE_PKGARCH_tune-skylake-64 = "skylake-64" 22TUNE_PKGARCH:tune-skylake-64 = "skylake-64"
23PACKAGE_EXTRA_ARCHS_tune-skylake-64 = "${PACKAGE_EXTRA_ARCHS_tune-core2-64} skylake-64" 23PACKAGE_EXTRA_ARCHS:tune-skylake-64 = "${PACKAGE_EXTRA_ARCHS:tune-core2-64} skylake-64"
24QEMU_EXTRAOPTIONS_skylake-64 = " -cpu Skylake-Client" 24QEMU_EXTRAOPTIONS_skylake-64 = " -cpu Skylake-Client"
25 25
26 26
27# Disable QEMU usermode by default (get avx2) 27# Disable QEMU usermode by default (get avx2)
28MACHINE_FEATURES_remove = "qemu-usermode" 28MACHINE_FEATURES:remove = "qemu-usermode"
29 29
30# If qemu-usermode is enabled, we have to disable avx2 ISA extensions, but we can keep mtune as skylake vs generic 30# If qemu-usermode is enabled, we have to disable avx2 ISA extensions, but we can keep mtune as skylake vs generic
31SKYLAKE_TUNE .= "${@bb.utils.contains('MACHINE_FEATURES', 'qemu-usermode', ' -mtune=skylake ${QEMU_UNAVAILABLE_ISA}', '-mtune=generic -mavx2', d)}" 31SKYLAKE_TUNE .= "${@bb.utils.contains('MACHINE_FEATURES', 'qemu-usermode', ' -mtune=skylake ${QEMU_UNAVAILABLE_ISA}', '-mtune=generic -mavx2', d)}"
diff --git a/conf/machine/intel-core2-32.conf b/conf/machine/intel-core2-32.conf
index 36cd9fa6..5b134dc3 100644
--- a/conf/machine/intel-core2-32.conf
+++ b/conf/machine/intel-core2-32.conf
@@ -29,4 +29,4 @@ APPEND += "rootwait console=ttyS0,115200 console=ttyPCH0,115200 console=tty0"
29 29
30IMAGE_FSTYPES += "wic" 30IMAGE_FSTYPES += "wic"
31WKS_FILE ?= "${@bb.utils.contains_any("EFI_PROVIDER", "systemd-boot", "systemd-bootdisk-microcode.wks.in", "grub-bootdisk-microcode.wks.in", d)}" 31WKS_FILE ?= "${@bb.utils.contains_any("EFI_PROVIDER", "systemd-boot", "systemd-bootdisk-microcode.wks.in", "grub-bootdisk-microcode.wks.in", d)}"
32WKS_FILE_DEPENDS_append = " intel-microcode" 32WKS_FILE_DEPENDS:append = " intel-microcode"
diff --git a/conf/machine/intel-corei7-64.conf b/conf/machine/intel-corei7-64.conf
index 7b94344c..719661a6 100644
--- a/conf/machine/intel-corei7-64.conf
+++ b/conf/machine/intel-corei7-64.conf
@@ -14,7 +14,7 @@ MACHINE_FEATURES += "intel-ucode"
14MACHINE_HWCODECS ?= "${@bb.utils.contains('TUNE_FEATURES', 'mx32', '', 'intel-media-driver intel-mediasdk', d)} gstreamer1.0-vaapi" 14MACHINE_HWCODECS ?= "${@bb.utils.contains('TUNE_FEATURES', 'mx32', '', 'intel-media-driver intel-mediasdk', d)} gstreamer1.0-vaapi"
15 15
16# Enable optional dpdk: 16# Enable optional dpdk:
17COMPATIBLE_MACHINE_pn-dpdk = "intel-corei7-64" 17COMPATIBLE_MACHINE:pn-dpdk = "intel-corei7-64"
18 18
19XSERVER ?= "${XSERVER_X86_BASE} \ 19XSERVER ?= "${XSERVER_X86_BASE} \
20 ${XSERVER_X86_EXT} \ 20 ${XSERVER_X86_EXT} \
@@ -32,4 +32,4 @@ APPEND += "rootwait console=ttyS0,115200 console=tty0"
32 32
33IMAGE_FSTYPES += "wic" 33IMAGE_FSTYPES += "wic"
34WKS_FILE ?= "${@bb.utils.contains_any("EFI_PROVIDER", "systemd-boot", "systemd-bootdisk-microcode.wks.in", "grub-bootdisk-microcode.wks.in", d)}" 34WKS_FILE ?= "${@bb.utils.contains_any("EFI_PROVIDER", "systemd-boot", "systemd-bootdisk-microcode.wks.in", "grub-bootdisk-microcode.wks.in", d)}"
35WKS_FILE_DEPENDS_append = " intel-microcode" 35WKS_FILE_DEPENDS:append = " intel-microcode"
diff --git a/conf/machine/intel-skylake-64.conf b/conf/machine/intel-skylake-64.conf
index eccd59b8..f1c4f5b2 100644
--- a/conf/machine/intel-skylake-64.conf
+++ b/conf/machine/intel-skylake-64.conf
@@ -14,7 +14,7 @@ MACHINE_FEATURES += "intel-ucode"
14 14
15MACHINE_HWCODECS ?= "intel-media-driver intel-mediasdk gstreamer1.0-vaapi" 15MACHINE_HWCODECS ?= "intel-media-driver intel-mediasdk gstreamer1.0-vaapi"
16 16
17COMPATIBLE_MACHINE_pn-dpdk = "intel-skylake-64" 17COMPATIBLE_MACHINE:pn-dpdk = "intel-skylake-64"
18 18
19XSERVER ?= "${XSERVER_X86_BASE} \ 19XSERVER ?= "${XSERVER_X86_BASE} \
20 ${XSERVER_X86_EXT} \ 20 ${XSERVER_X86_EXT} \
@@ -32,4 +32,4 @@ APPEND += "rootwait console=ttyS0,115200 console=tty0"
32 32
33IMAGE_FSTYPES += "wic" 33IMAGE_FSTYPES += "wic"
34WKS_FILE ?= "${@bb.utils.contains_any("EFI_PROVIDER", "systemd-boot", "systemd-bootdisk-microcode.wks.in", "grub-bootdisk-microcode.wks.in", d)}" 34WKS_FILE ?= "${@bb.utils.contains_any("EFI_PROVIDER", "systemd-boot", "systemd-bootdisk-microcode.wks.in", "grub-bootdisk-microcode.wks.in", d)}"
35WKS_FILE_DEPENDS_append = " intel-microcode" 35WKS_FILE_DEPENDS:append = " intel-microcode"
diff --git a/dynamic-layers/clang-layer/recipes-core/dnn/onednn_2.2.3.bb b/dynamic-layers/clang-layer/recipes-core/dnn/onednn_2.2.3.bb
index ebc33d20..c24c6440 100644
--- a/dynamic-layers/clang-layer/recipes-core/dnn/onednn_2.2.3.bb
+++ b/dynamic-layers/clang-layer/recipes-core/dnn/onednn_2.2.3.bb
@@ -25,7 +25,7 @@ UPSTREAM_CHECK_GITTAGREGEX = "^v(?P<pver>(\d+(\.\d+)+))$"
25CVE_PRODUCT = "intel:math_kernel_library" 25CVE_PRODUCT = "intel:math_kernel_library"
26 26
27COMPATIBLE_HOST = '(x86_64).*-linux' 27COMPATIBLE_HOST = '(x86_64).*-linux'
28COMPATIBLE_HOST_libc-musl = 'null' 28COMPATIBLE_HOST:libc-musl = 'null'
29 29
30EXTRA_OECMAKE += " \ 30EXTRA_OECMAKE += " \
31 -DDNNL_LIBRARY_TYPE=SHARED \ 31 -DDNNL_LIBRARY_TYPE=SHARED \
@@ -39,7 +39,7 @@ EXTRA_OECMAKE += " \
39PACKAGECONFIG ??= "" 39PACKAGECONFIG ??= ""
40PACKAGECONFIG[gpu] = "-DDNNL_GPU_RUNTIME=OCL, , opencl-headers ocl-icd, intel-compute-runtime" 40PACKAGECONFIG[gpu] = "-DDNNL_GPU_RUNTIME=OCL, , opencl-headers ocl-icd, intel-compute-runtime"
41 41
42do_install_append () { 42do_install:append () {
43 install -d ${D}${bindir}/mkl-dnn/tests/benchdnn/inputs 43 install -d ${D}${bindir}/mkl-dnn/tests/benchdnn/inputs
44 install -m 0755 ${B}/tests/benchdnn/benchdnn ${D}${bindir}/mkl-dnn/tests/benchdnn 44 install -m 0755 ${B}/tests/benchdnn/benchdnn ${D}${bindir}/mkl-dnn/tests/benchdnn
45 cp -r ${B}/tests/benchdnn/inputs/* ${D}${bindir}/mkl-dnn/tests/benchdnn/inputs 45 cp -r ${B}/tests/benchdnn/inputs/* ${D}${bindir}/mkl-dnn/tests/benchdnn/inputs
@@ -53,4 +53,4 @@ do_install_ptest () {
53 53
54PACKAGES =+ "${PN}-test" 54PACKAGES =+ "${PN}-test"
55 55
56FILES_${PN}-test = "${bindir}/mkl-dnn/*" 56FILES:${PN}-test = "${bindir}/mkl-dnn/*"
diff --git a/dynamic-layers/clang-layer/recipes-core/ispc/ispc_1.16.0.bb b/dynamic-layers/clang-layer/recipes-core/ispc/ispc_1.16.0.bb
index 5355140b..de7e8472 100644
--- a/dynamic-layers/clang-layer/recipes-core/ispc/ispc_1.16.0.bb
+++ b/dynamic-layers/clang-layer/recipes-core/ispc/ispc_1.16.0.bb
@@ -21,7 +21,7 @@ SRCREV = "bdd411085d3e398cf7927cb3b94b00af676737ba"
21COMPATIBLE_HOST = '(x86_64).*-linux' 21COMPATIBLE_HOST = '(x86_64).*-linux'
22 22
23DEPENDS += " clang-native bison-native " 23DEPENDS += " clang-native bison-native "
24RDEPENDS_${PN} += " clang-libllvm clang" 24RDEPENDS:${PN} += " clang-libllvm clang"
25 25
26EXTRA_OECMAKE += " \ 26EXTRA_OECMAKE += " \
27 -DISPC_INCLUDE_TESTS=OFF \ 27 -DISPC_INCLUDE_TESTS=OFF \
diff --git a/dynamic-layers/clang-layer/recipes-devtools/clang/llvm-project-source.bbappend b/dynamic-layers/clang-layer/recipes-devtools/clang/llvm-project-source.bbappend
index 20bdecfe..a09343b3 100644
--- a/dynamic-layers/clang-layer/recipes-devtools/clang/llvm-project-source.bbappend
+++ b/dynamic-layers/clang-layer/recipes-devtools/clang/llvm-project-source.bbappend
@@ -1,4 +1,4 @@
1FILESEXTRAPATHS_prepend_intel-x86-common := "${THISDIR}/files:" 1FILESEXTRAPATHS:prepend:intel-x86-common := "${THISDIR}/files:"
2 2
3SPIRV10_SRCREV = "576abae62cecd171992017a4a786e3831221ab8d" 3SPIRV10_SRCREV = "576abae62cecd171992017a4a786e3831221ab8d"
4SPIRV11_SRCREV = "2a8c1e6c9778deaa720a23e08c293006dc5d56fd" 4SPIRV11_SRCREV = "2a8c1e6c9778deaa720a23e08c293006dc5d56fd"
@@ -34,8 +34,8 @@ SPIRV_LLVM11_SRC_URI = "git://github.com/KhronosGroup/SPIRV-LLVM-Translator.git;
34 34
35 35
36 36
37SRC_URI_append_intel-x86-common = "${@bb.utils.contains('LLVMVERSION', '10.0.1', ' ${SPIRV_LLVM10_SRC_URI} ${SRC_URI_LLVM10_PATCHES} ', '', d)}" 37SRC_URI:append:intel-x86-common = "${@bb.utils.contains('LLVMVERSION', '10.0.1', ' ${SPIRV_LLVM10_SRC_URI} ${SRC_URI_LLVM10_PATCHES} ', '', d)}"
38SRC_URI_append_intel-x86-common = "${@bb.utils.contains('LLVMVERSION', '11.1.0', ' ${SPIRV_LLVM11_SRC_URI} ${SRC_URI_LLVM11_PATCHES} ', '', d)}" 38SRC_URI:append:intel-x86-common = "${@bb.utils.contains('LLVMVERSION', '11.1.0', ' ${SPIRV_LLVM11_SRC_URI} ${SRC_URI_LLVM11_PATCHES} ', '', d)}"
39SRC_URI_append_intel-x86-common = "${@bb.utils.contains('LLVMVERSION', '12.0.0', ' ${SRC_URI_LLVM12_PATCHES} ', '', d)}" 39SRC_URI:append:intel-x86-common = "${@bb.utils.contains('LLVMVERSION', '12.0.0', ' ${SRC_URI_LLVM12_PATCHES} ', '', d)}"
40 40
41SRCREV_spirv = "${@bb.utils.contains_any('LLVMVERSION', [ '13.0.0', '12.0.0' ], '', '${SPIRV_SRCREV}', d)}" 41SRCREV_spirv = "${@bb.utils.contains_any('LLVMVERSION', [ '13.0.0', '12.0.0' ], '', '${SPIRV_SRCREV}', d)}"
diff --git a/dynamic-layers/clang-layer/recipes-opencl/compute-runtime/intel-compute-runtime_21.04.18912.bb b/dynamic-layers/clang-layer/recipes-opencl/compute-runtime/intel-compute-runtime_21.04.18912.bb
index d0078f3a..73a98732 100644
--- a/dynamic-layers/clang-layer/recipes-opencl/compute-runtime/intel-compute-runtime_21.04.18912.bb
+++ b/dynamic-layers/clang-layer/recipes-opencl/compute-runtime/intel-compute-runtime_21.04.18912.bb
@@ -10,21 +10,21 @@ LIC_FILES_CHKSUM = "file://LICENSE;md5=ae27f47fd6755510247c19e547e4c804 \
10 10
11SRC_URI = "git://github.com/intel/compute-runtime.git;protocol=https \ 11SRC_URI = "git://github.com/intel/compute-runtime.git;protocol=https \
12 " 12 "
13SRC_URI_append_class-target = " file://allow-to-find-cpp-generation-tool.patch" 13SRC_URI:append:class-target = " file://allow-to-find-cpp-generation-tool.patch"
14 14
15SRCREV = "5a05d7643c4d8cc14e281b686c78101a7a01e8e1" 15SRCREV = "5a05d7643c4d8cc14e281b686c78101a7a01e8e1"
16 16
17S = "${WORKDIR}/git" 17S = "${WORKDIR}/git"
18 18
19DEPENDS += " intel-graphics-compiler gmmlib" 19DEPENDS += " intel-graphics-compiler gmmlib"
20DEPENDS_append_class-target = " intel-compute-runtime-native libva" 20DEPENDS:append:class-target = " intel-compute-runtime-native libva"
21 21
22RDEPENDS_${PN} += " intel-graphics-compiler gmmlib" 22RDEPENDS:${PN} += " intel-graphics-compiler gmmlib"
23 23
24inherit cmake pkgconfig 24inherit cmake pkgconfig
25 25
26COMPATIBLE_HOST = '(x86_64).*-linux' 26COMPATIBLE_HOST = '(x86_64).*-linux'
27COMPATIBLE_HOST_libc-musl = "null" 27COMPATIBLE_HOST:libc-musl = "null"
28 28
29EXTRA_OECMAKE = " \ 29EXTRA_OECMAKE = " \
30 -DIGC_DIR=${STAGING_INCDIR}/igc \ 30 -DIGC_DIR=${STAGING_INCDIR}/igc \
@@ -32,24 +32,24 @@ EXTRA_OECMAKE = " \
32 -DSKIP_UNIT_TESTS=1 \ 32 -DSKIP_UNIT_TESTS=1 \
33 -DCCACHE_ALLOWED=FALSE \ 33 -DCCACHE_ALLOWED=FALSE \
34 " 34 "
35EXTRA_OECMAKE_append_class-target = " \ 35EXTRA_OECMAKE:append:class-target = " \
36 -Dcloc_cmd_prefix=ocloc \ 36 -Dcloc_cmd_prefix=ocloc \
37 " 37 "
38 38
39PACKAGECONFIG ??= "" 39PACKAGECONFIG ??= ""
40PACKAGECONFIG[levelzero] = "-DBUILD_WITH_L0=ON, -DBUILD_WITH_L0=OFF, level-zero" 40PACKAGECONFIG[levelzero] = "-DBUILD_WITH_L0=ON, -DBUILD_WITH_L0=OFF, level-zero"
41 41
42do_install_append_class-native() { 42do_install:append:class-native() {
43 install -d ${D}${bindir} 43 install -d ${D}${bindir}
44 install ${B}/bin/cpp_generate_tool ${D}${bindir}/ 44 install ${B}/bin/cpp_generate_tool ${D}${bindir}/
45} 45}
46 46
47FILES_${PN} += " \ 47FILES:${PN} += " \
48 ${libdir}/intel-opencl/libigdrcl.so \ 48 ${libdir}/intel-opencl/libigdrcl.so \
49 ${libdir}/libocloc.so \ 49 ${libdir}/libocloc.so \
50 " 50 "
51 51
52FILES_${PN}-dev = "${includedir}" 52FILES:${PN}-dev = "${includedir}"
53 53
54BBCLASSEXTEND = "native nativesdk" 54BBCLASSEXTEND = "native nativesdk"
55 55
diff --git a/dynamic-layers/clang-layer/recipes-opencl/igc/intel-graphics-compiler_1.0.6646.bb b/dynamic-layers/clang-layer/recipes-opencl/igc/intel-graphics-compiler_1.0.6646.bb
index 582db553..d546dc22 100644
--- a/dynamic-layers/clang-layer/recipes-opencl/igc/intel-graphics-compiler_1.0.6646.bb
+++ b/dynamic-layers/clang-layer/recipes-opencl/igc/intel-graphics-compiler_1.0.6646.bb
@@ -27,12 +27,12 @@ S = "${WORKDIR}/git"
27inherit cmake 27inherit cmake
28 28
29COMPATIBLE_HOST = '(x86_64).*-linux' 29COMPATIBLE_HOST = '(x86_64).*-linux'
30COMPATIBLE_HOST_libc-musl = "null" 30COMPATIBLE_HOST:libc-musl = "null"
31 31
32DEPENDS += " flex-native bison-native clang opencl-clang vc-intrinsics" 32DEPENDS += " flex-native bison-native clang opencl-clang vc-intrinsics"
33DEPENDS_append_class-target = " clang-cross-x86_64" 33DEPENDS:append:class-target = " clang-cross-x86_64"
34 34
35RDEPENDS_${PN} += "opencl-clang" 35RDEPENDS:${PN} += "opencl-clang"
36 36
37EXTRA_OECMAKE = "-DIGC_OPTION__LLVM_PREFERRED_VERSION=${LLVMVERSION} -DPYTHON_EXECUTABLE=${HOSTTOOLS_DIR}/python3 -DIGC_BUILD__VC_ENABLED=OFF -DIGC_BUILD__USE_KHRONOS_SPIRV_TRANSLATOR=ON" 37EXTRA_OECMAKE = "-DIGC_OPTION__LLVM_PREFERRED_VERSION=${LLVMVERSION} -DPYTHON_EXECUTABLE=${HOSTTOOLS_DIR}/python3 -DIGC_BUILD__VC_ENABLED=OFF -DIGC_BUILD__USE_KHRONOS_SPIRV_TRANSLATOR=ON"
38 38
@@ -40,6 +40,6 @@ BBCLASSEXTEND = "native nativesdk"
40 40
41UPSTREAM_CHECK_GITTAGREGEX = "^igc-(?P<pver>(?!19\..*)\d+(\.\d+)+)$" 41UPSTREAM_CHECK_GITTAGREGEX = "^igc-(?P<pver>(?!19\..*)\d+(\.\d+)+)$"
42 42
43FILES_${PN} += " \ 43FILES:${PN} += " \
44 ${libdir}/igc/NOTICES.txt \ 44 ${libdir}/igc/NOTICES.txt \
45 " 45 "
diff --git a/dynamic-layers/clang-layer/recipes-opencl/opencl-clang/opencl-clang_10.0.0.bb b/dynamic-layers/clang-layer/recipes-opencl/opencl-clang/opencl-clang_10.0.0.bb
index 4928eb22..c870b90b 100644
--- a/dynamic-layers/clang-layer/recipes-opencl/opencl-clang/opencl-clang_10.0.0.bb
+++ b/dynamic-layers/clang-layer/recipes-opencl/opencl-clang/opencl-clang_10.0.0.bb
@@ -9,7 +9,7 @@ SRC_URI = "git://github.com/intel/opencl-clang.git;branch=ocl-open-100;protocol=
9 file://0001-don-t-redefine-LLVM_TABLEGEN_EXE.patch \ 9 file://0001-don-t-redefine-LLVM_TABLEGEN_EXE.patch \
10 file://0001-Building-in-tree-with-LLVM-10.0-with-the-LLVM_LINK_L.patch \ 10 file://0001-Building-in-tree-with-LLVM-10.0-with-the-LLVM_LINK_L.patch \
11 " 11 "
12SRC_URI_append_class-native = " file://0002-make-sure-only-static-libraries-linked-for-native-bu.patch" 12SRC_URI:append:class-native = " file://0002-make-sure-only-static-libraries-linked-for-native-bu.patch"
13 13
14SRCREV = "c8cd72e32b6abc18ce6da71c357ea45ba78b52f0" 14SRCREV = "c8cd72e32b6abc18ce6da71c357ea45ba78b52f0"
15 15
@@ -17,17 +17,17 @@ S = "${WORKDIR}/git"
17 17
18inherit cmake 18inherit cmake
19DEPENDS += "clang" 19DEPENDS += "clang"
20DEPENDS_append_class-target = " opencl-clang-native" 20DEPENDS:append:class-target = " opencl-clang-native"
21 21
22COMPATIBLE_HOST = '(x86_64).*-linux' 22COMPATIBLE_HOST = '(x86_64).*-linux'
23COMPATIBLE_HOST_libc-musl = "null" 23COMPATIBLE_HOST:libc-musl = "null"
24 24
25EXTRA_OECMAKE += "\ 25EXTRA_OECMAKE += "\
26 -DLLVM_TABLEGEN_EXE=${STAGING_BINDIR_NATIVE}/llvm-tblgen \ 26 -DLLVM_TABLEGEN_EXE=${STAGING_BINDIR_NATIVE}/llvm-tblgen \
27 -DCMAKE_SKIP_RPATH=TRUE \ 27 -DCMAKE_SKIP_RPATH=TRUE \
28 " 28 "
29 29
30do_install_append_class-native() { 30do_install:append:class-native() {
31 install -d ${D}${bindir} 31 install -d ${D}${bindir}
32 install -m 0755 ${B}/linux_linker/linux_resource_linker ${D}${bindir}/ 32 install -m 0755 ${B}/linux_linker/linux_resource_linker ${D}${bindir}/
33} 33}
diff --git a/dynamic-layers/clang-layer/recipes-opencl/opencl-clang/opencl-clang_11.0.0.bb b/dynamic-layers/clang-layer/recipes-opencl/opencl-clang/opencl-clang_11.0.0.bb
index 0c5d0508..e0b12d1f 100644
--- a/dynamic-layers/clang-layer/recipes-opencl/opencl-clang/opencl-clang_11.0.0.bb
+++ b/dynamic-layers/clang-layer/recipes-opencl/opencl-clang/opencl-clang_11.0.0.bb
@@ -8,7 +8,7 @@ LIC_FILES_CHKSUM = "file://LICENSE;md5=e8a15bf1416762a09ece07e44c79118c"
8SRC_URI = "git://github.com/intel/opencl-clang.git;branch=ocl-open-110;protocol=https \ 8SRC_URI = "git://github.com/intel/opencl-clang.git;branch=ocl-open-110;protocol=https \
9 file://0001-don-t-redefine-LLVM_TABLEGEN_EXE.patch \ 9 file://0001-don-t-redefine-LLVM_TABLEGEN_EXE.patch \
10 " 10 "
11SRC_URI_append_class-native = " file://0002-make-sure-only-static-libraries-linked-for-native-bu.patch" 11SRC_URI:append:class-native = " file://0002-make-sure-only-static-libraries-linked-for-native-bu.patch"
12 12
13SRCREV = "c67648d41df00ea8ee9d701d17299b86f86f0321" 13SRCREV = "c67648d41df00ea8ee9d701d17299b86f86f0321"
14 14
@@ -16,10 +16,10 @@ S = "${WORKDIR}/git"
16 16
17inherit cmake 17inherit cmake
18DEPENDS += "clang" 18DEPENDS += "clang"
19DEPENDS_append_class-target = " opencl-clang-native" 19DEPENDS:append:class-target = " opencl-clang-native"
20 20
21COMPATIBLE_HOST = '(x86_64).*-linux' 21COMPATIBLE_HOST = '(x86_64).*-linux'
22COMPATIBLE_HOST_libc-musl = "null" 22COMPATIBLE_HOST:libc-musl = "null"
23 23
24EXTRA_OECMAKE += "\ 24EXTRA_OECMAKE += "\
25 -DLLVM_TABLEGEN_EXE=${STAGING_BINDIR_NATIVE}/llvm-tblgen \ 25 -DLLVM_TABLEGEN_EXE=${STAGING_BINDIR_NATIVE}/llvm-tblgen \
@@ -27,7 +27,7 @@ EXTRA_OECMAKE += "\
27 -DPREFERRED_LLVM_VERSION="11.1.0" \ 27 -DPREFERRED_LLVM_VERSION="11.1.0" \
28 " 28 "
29 29
30do_install_append_class-native() { 30do_install:append:class-native() {
31 install -d ${D}${bindir} 31 install -d ${D}${bindir}
32 install -m 0755 ${B}/linux_linker/linux_resource_linker ${D}${bindir}/ 32 install -m 0755 ${B}/linux_linker/linux_resource_linker ${D}${bindir}/
33} 33}
diff --git a/dynamic-layers/clang-layer/recipes-opencl/opencl-clang/opencl-clang_12.0.0.bb b/dynamic-layers/clang-layer/recipes-opencl/opencl-clang/opencl-clang_12.0.0.bb
index 06dc44da..544f7e33 100644
--- a/dynamic-layers/clang-layer/recipes-opencl/opencl-clang/opencl-clang_12.0.0.bb
+++ b/dynamic-layers/clang-layer/recipes-opencl/opencl-clang/opencl-clang_12.0.0.bb
@@ -13,17 +13,17 @@ S = "${WORKDIR}/git"
13 13
14inherit cmake 14inherit cmake
15DEPENDS += " clang spirv-llvm-translator" 15DEPENDS += " clang spirv-llvm-translator"
16DEPENDS_append_class-target = " opencl-clang-native" 16DEPENDS:append:class-target = " opencl-clang-native"
17 17
18COMPATIBLE_HOST = '(x86_64).*-linux' 18COMPATIBLE_HOST = '(x86_64).*-linux'
19COMPATIBLE_HOST_libc-musl = "null" 19COMPATIBLE_HOST:libc-musl = "null"
20 20
21EXTRA_OECMAKE += "\ 21EXTRA_OECMAKE += "\
22 -DCMAKE_SKIP_RPATH=TRUE \ 22 -DCMAKE_SKIP_RPATH=TRUE \
23 -DPREFERRED_LLVM_VERSION=${LLVMVERSION} \ 23 -DPREFERRED_LLVM_VERSION=${LLVMVERSION} \
24 " 24 "
25 25
26do_install_append_class-native() { 26do_install:append:class-native() {
27 install -d ${D}${bindir} 27 install -d ${D}${bindir}
28 install -m 0755 ${B}/linux_linker/linux_resource_linker ${D}${bindir}/ 28 install -m 0755 ${B}/linux_linker/linux_resource_linker ${D}${bindir}/
29} 29}
diff --git a/dynamic-layers/clang-layer/recipes-opencl/vc-intrinsics/vc-intrinsics_1.0.bb b/dynamic-layers/clang-layer/recipes-opencl/vc-intrinsics/vc-intrinsics_1.0.bb
index 3c0cc26c..3ac2c8c0 100644
--- a/dynamic-layers/clang-layer/recipes-opencl/vc-intrinsics/vc-intrinsics_1.0.bb
+++ b/dynamic-layers/clang-layer/recipes-opencl/vc-intrinsics/vc-intrinsics_1.0.bb
@@ -15,7 +15,7 @@ S = "${WORKDIR}/git"
15inherit cmake 15inherit cmake
16 16
17COMPATIBLE_HOST = '(x86_64).*-linux' 17COMPATIBLE_HOST = '(x86_64).*-linux'
18COMPATIBLE_HOST_libc-musl = "null" 18COMPATIBLE_HOST:libc-musl = "null"
19 19
20DEPENDS += " clang" 20DEPENDS += " clang"
21 21
diff --git a/dynamic-layers/meta-python/recipes-opencv/dldt/openvino-model-optimizer_2021.3.bb b/dynamic-layers/meta-python/recipes-opencv/dldt/openvino-model-optimizer_2021.3.bb
index 697c8579..aef47604 100644
--- a/dynamic-layers/meta-python/recipes-opencv/dldt/openvino-model-optimizer_2021.3.bb
+++ b/dynamic-layers/meta-python/recipes-opencv/dldt/openvino-model-optimizer_2021.3.bb
@@ -21,7 +21,7 @@ do_install() {
21 cp -r model-optimizer ${D}${datadir}/openvino/ 21 cp -r model-optimizer ${D}${datadir}/openvino/
22} 22}
23 23
24RDEPENDS_${PN} += " \ 24RDEPENDS:${PN} += " \
25 python3-numpy \ 25 python3-numpy \
26 python3-protobuf \ 26 python3-protobuf \
27 python3-defusedxml \ 27 python3-defusedxml \
@@ -30,4 +30,4 @@ RDEPENDS_${PN} += " \
30 bash \ 30 bash \
31 " 31 "
32 32
33FILES_${PN} += "${datadir}/openvino" 33FILES:${PN} += "${datadir}/openvino"
diff --git a/dynamic-layers/openembedded-layer/recipes-bsp/amt/lms_2109.0.0.0.bb b/dynamic-layers/openembedded-layer/recipes-bsp/amt/lms_2109.0.0.0.bb
index 86501025..8ff8c88a 100644
--- a/dynamic-layers/openembedded-layer/recipes-bsp/amt/lms_2109.0.0.0.bb
+++ b/dynamic-layers/openembedded-layer/recipes-bsp/amt/lms_2109.0.0.0.bb
@@ -8,7 +8,7 @@ LIC_FILES_CHKSUM = "file://COPYING;md5=2ee41112a44fe7014dce33e26468ba93"
8 8
9COMPATIBLE_HOST = '(i.86|x86_64).*-linux' 9COMPATIBLE_HOST = '(i.86|x86_64).*-linux'
10 10
11COMPATIBLE_HOST_libc-musl = "null" 11COMPATIBLE_HOST:libc-musl = "null"
12 12
13inherit cmake systemd features_check 13inherit cmake systemd features_check
14 14
@@ -23,24 +23,24 @@ PACKAGECONFIG[networkmanager] = "-DNETWORK_NM=ON, -DNETWORK_NM=OFF, networkmanag
23 23
24REQUIRED_DISTRO_FEATURES= "systemd" 24REQUIRED_DISTRO_FEATURES= "systemd"
25 25
26FILES_${PN} += "${datadir}/dbus-1/system-services/*.service" 26FILES:${PN} += "${datadir}/dbus-1/system-services/*.service"
27 27
28S = "${WORKDIR}/git" 28S = "${WORKDIR}/git"
29 29
30SYSTEMD_SERVICE_${PN} = "lms.service" 30SYSTEMD_SERVICE:${PN} = "lms.service"
31 31
32SRC_URI = "git://github.com/intel/lms.git \ 32SRC_URI = "git://github.com/intel/lms.git \
33 " 33 "
34SRCREV = "e0ebda9d1e7884b51293b71c1bcda511a7942e1a" 34SRCREV = "e0ebda9d1e7884b51293b71c1bcda511a7942e1a"
35 35
36do_install_append() { 36do_install:append() {
37 install -d ${D}${systemd_system_unitdir} 37 install -d ${D}${systemd_system_unitdir}
38 install -m 0644 ${B}/UNS/lms.service ${D}${systemd_system_unitdir} 38 install -m 0644 ${B}/UNS/lms.service ${D}${systemd_system_unitdir}
39 install -d ${D}${sysconfdir}/udev/rules.d 39 install -d ${D}${sysconfdir}/udev/rules.d
40 install -m 0644 ${S}/UNS/linux_scripts/70-mei-wdt.rules ${D}${sysconfdir}/udev/rules.d/70-mei-wdt.rules 40 install -m 0644 ${S}/UNS/linux_scripts/70-mei-wdt.rules ${D}${sysconfdir}/udev/rules.d/70-mei-wdt.rules
41} 41}
42 42
43RDEPENDS_${PN} += "ace" 43RDEPENDS:${PN} += "ace"
44 44
45# This CVE is for Lan Management System software and not this lms. 45# This CVE is for Lan Management System software and not this lms.
46CVE_CHECK_WHITELIST += "CVE-2018-1000535" 46CVE_CHECK_WHITELIST += "CVE-2018-1000535"
diff --git a/dynamic-layers/openembedded-layer/recipes-bsp/thermald/thermald_2.4.4.bb b/dynamic-layers/openembedded-layer/recipes-bsp/thermald/thermald_2.4.4.bb
index efad2fe3..906b85aa 100644
--- a/dynamic-layers/openembedded-layer/recipes-bsp/thermald/thermald_2.4.4.bb
+++ b/dynamic-layers/openembedded-layer/recipes-bsp/thermald/thermald_2.4.4.bb
@@ -20,7 +20,7 @@ inherit pkgconfig autotools systemd gtk-doc
20 20
21# gtkdocsize runs before autotools do_configure and it copies gtk-doc.m4 and fails 21# gtkdocsize runs before autotools do_configure and it copies gtk-doc.m4 and fails
22# to copy becuase there is no m4 dir yet. 22# to copy becuase there is no m4 dir yet.
23do_configure_prepend () { 23do_configure:prepend () {
24 mkdir -p ${S}/m4 24 mkdir -p ${S}/m4
25} 25}
26 26
@@ -28,13 +28,13 @@ EXTRA_OECONF = " \
28 --with-systemdsystemunitdir=${systemd_system_unitdir} \ 28 --with-systemdsystemunitdir=${systemd_system_unitdir} \
29 " 29 "
30 30
31FILES_${PN} += "${datadir}/dbus-1/system-services/*.service" 31FILES:${PN} += "${datadir}/dbus-1/system-services/*.service"
32 32
33SYSTEMD_SERVICE_${PN} = "thermald.service" 33SYSTEMD_SERVICE:${PN} = "thermald.service"
34 34
35COMPATIBLE_HOST = '(i.86|x86_64).*-linux' 35COMPATIBLE_HOST = '(i.86|x86_64).*-linux'
36 36
37CONFFILES_${PN} = " \ 37CONFFILES:${PN} = " \
38 ${sysconfdir}/thermald/thermal-conf.xml \ 38 ${sysconfdir}/thermald/thermal-conf.xml \
39 ${sysconfdir}/thermald/thermal-cpu-cdev-order.xml \ 39 ${sysconfdir}/thermald/thermal-cpu-cdev-order.xml \
40 " 40 "
diff --git a/dynamic-layers/openembedded-layer/recipes-core/levelzero/level-zero_1.2.3.bb b/dynamic-layers/openembedded-layer/recipes-core/levelzero/level-zero_1.2.3.bb
index 9ab0804f..2238746f 100644
--- a/dynamic-layers/openembedded-layer/recipes-core/levelzero/level-zero_1.2.3.bb
+++ b/dynamic-layers/openembedded-layer/recipes-core/levelzero/level-zero_1.2.3.bb
@@ -14,7 +14,7 @@ UPSTREAM_CHECK_GITTAGREGEX = "^v(?P<pver>(\d+(\.\d+)+))$"
14 14
15PACKAGES =+ "${PN}-headers ${PN}-samples ${PN}-loader" 15PACKAGES =+ "${PN}-headers ${PN}-samples ${PN}-loader"
16 16
17do_install_append () { 17do_install:append () {
18 install -d ${D}${bindir} ${D}${libdir} 18 install -d ${D}${bindir} ${D}${libdir}
19 install -m 755 ${B}/bin/zello* ${D}${bindir} 19 install -m 755 ${B}/bin/zello* ${D}${bindir}
20 20
@@ -22,10 +22,10 @@ do_install_append () {
22} 22}
23 23
24 24
25FILES_${PN}-headers = "${includedir}" 25FILES:${PN}-headers = "${includedir}"
26FILES_${PN}-samples = "${bindir} ${libdir}/libze_null* ${libdir}/libze_validation*" 26FILES:${PN}-samples = "${bindir} ${libdir}/libze_null* ${libdir}/libze_validation*"
27FILES_${PN}-loader = "${libdir}" 27FILES:${PN}-loader = "${libdir}"
28 28
29# PN-loader (non -dev/-dbg/nativesdk- package) contains symlink .so 29# PN-loader (non -dev/-dbg/nativesdk- package) contains symlink .so
30INSANE_SKIP_${PN}-loader = "dev-so" 30INSANE_SKIP:${PN}-loader = "dev-so"
31INSANE_SKIP_${PN}-samples = "dev-so" 31INSANE_SKIP:${PN}-samples = "dev-so"
diff --git a/dynamic-layers/openembedded-layer/recipes-oneapi/openvkl/openvkl_0.13.0.bb b/dynamic-layers/openembedded-layer/recipes-oneapi/openvkl/openvkl_0.13.0.bb
index 82c4bbea..b2c5a038 100644
--- a/dynamic-layers/openembedded-layer/recipes-oneapi/openvkl/openvkl_0.13.0.bb
+++ b/dynamic-layers/openembedded-layer/recipes-oneapi/openvkl/openvkl_0.13.0.bb
@@ -31,6 +31,6 @@ EXTRA_OECMAKE += " \
31 -DISPC_EXECUTABLE=${STAGING_BINDIR_NATIVE}/ispc \ 31 -DISPC_EXECUTABLE=${STAGING_BINDIR_NATIVE}/ispc \
32 " 32 "
33PACKAGES =+ "${PN}-examples" 33PACKAGES =+ "${PN}-examples"
34FILES_${PN}-examples = "\ 34FILES:${PN}-examples = "\
35 ${bindir} \ 35 ${bindir} \
36 " 36 "
diff --git a/dynamic-layers/openembedded-layer/recipes-oneapi/ospray/ospray_2.6.0.bb b/dynamic-layers/openembedded-layer/recipes-oneapi/ospray/ospray_2.6.0.bb
index 7b8544b0..b2f4f7cb 100644
--- a/dynamic-layers/openembedded-layer/recipes-oneapi/ospray/ospray_2.6.0.bb
+++ b/dynamic-layers/openembedded-layer/recipes-oneapi/ospray/ospray_2.6.0.bb
@@ -25,6 +25,6 @@ EXTRA_OECMAKE += " \
25 -DISPC_EXECUTABLE=${STAGING_BINDIR_NATIVE}/ispc \ 25 -DISPC_EXECUTABLE=${STAGING_BINDIR_NATIVE}/ispc \
26 " 26 "
27PACKAGES =+ "${PN}-apps" 27PACKAGES =+ "${PN}-apps"
28FILES_${PN}-apps = "\ 28FILES:${PN}-apps = "\
29 ${bindir} \ 29 ${bindir} \
30 " 30 "
diff --git a/dynamic-layers/openembedded-layer/recipes-support/ipmctl/ipmctl_03.00.00.0341.bb b/dynamic-layers/openembedded-layer/recipes-support/ipmctl/ipmctl_03.00.00.0341.bb
index e84d1058..0e29c44c 100644
--- a/dynamic-layers/openembedded-layer/recipes-support/ipmctl/ipmctl_03.00.00.0341.bb
+++ b/dynamic-layers/openembedded-layer/recipes-support/ipmctl/ipmctl_03.00.00.0341.bb
@@ -32,7 +32,7 @@ DEPENDS = "ndctl"
32 32
33EXTRA_OECMAKE = "-DRELEASE=ON" 33EXTRA_OECMAKE = "-DRELEASE=ON"
34 34
35do_configure_prepend() { 35do_configure:prepend() {
36 for dir in BaseTools MdeModulePkg MdePkg ShellPkg ; do 36 for dir in BaseTools MdeModulePkg MdePkg ShellPkg ; do
37 ln -sf edk2/${dir} ${S} 37 ln -sf edk2/${dir} ${S}
38 done 38 done
diff --git a/dynamic-layers/openembedded-layer/recipes-support/opencv/open-model-zoo_2021.3.bb b/dynamic-layers/openembedded-layer/recipes-support/opencv/open-model-zoo_2021.3.bb
index 700f78c2..1461afe1 100644
--- a/dynamic-layers/openembedded-layer/recipes-support/opencv/open-model-zoo_2021.3.bb
+++ b/dynamic-layers/openembedded-layer/recipes-support/opencv/open-model-zoo_2021.3.bb
@@ -21,7 +21,7 @@ OECMAKE_SOURCEPATH = "${S}/demos"
21 21
22DEPENDS += "openvino-inference-engine opencv gflags" 22DEPENDS += "openvino-inference-engine opencv gflags"
23 23
24RDEPENDS_${PN} += " \ 24RDEPENDS:${PN} += " \
25 python3-decorator \ 25 python3-decorator \
26 python3-defusedxml \ 26 python3-defusedxml \
27 python3-networkx \ 27 python3-networkx \
@@ -46,7 +46,7 @@ EXTRA_OECMAKE += " \
46 -DIE_ROOT_DIR=${WORKDIR}/InferenceEngine \ 46 -DIE_ROOT_DIR=${WORKDIR}/InferenceEngine \
47" 47"
48 48
49do_configure_prepend(){ 49do_configure:prepend(){
50 mkdir -p ${WORKDIR}/InferenceEngine/share 50 mkdir -p ${WORKDIR}/InferenceEngine/share
51 cp ${STAGING_LIBDIR}/cmake/InferenceEngine/* ${WORKDIR}/InferenceEngine/share/ 51 cp ${STAGING_LIBDIR}/cmake/InferenceEngine/* ${WORKDIR}/InferenceEngine/share/
52} 52}
@@ -63,4 +63,4 @@ do_install(){
63 cp -rf ${WORKDIR}/git/tools/downloader ${D}${datadir}/openvino/open-model-zoo/tools 63 cp -rf ${WORKDIR}/git/tools/downloader ${D}${datadir}/openvino/open-model-zoo/tools
64} 64}
65 65
66FILES_${PN} += "${datadir}/openvino" 66FILES:${PN} += "${datadir}/openvino"
diff --git a/dynamic-layers/openembedded-layer/recipes-support/opencv/openvino-inference-engine_2021.3.bb b/dynamic-layers/openembedded-layer/recipes-support/opencv/openvino-inference-engine_2021.3.bb
index 19da3e1b..654cac13 100644
--- a/dynamic-layers/openembedded-layer/recipes-support/opencv/openvino-inference-engine_2021.3.bb
+++ b/dynamic-layers/openembedded-layer/recipes-support/opencv/openvino-inference-engine_2021.3.bb
@@ -30,7 +30,7 @@ LIC_FILES_CHKSUM = "file://LICENSE;md5=86d3f3a95c324c9479bd8986968f4327 \
30 file://thirdparty/xbyak/COPYRIGHT;md5=03532861dad9003cc2c17f14fc7a4efa \ 30 file://thirdparty/xbyak/COPYRIGHT;md5=03532861dad9003cc2c17f14fc7a4efa \
31 file://inference-engine/thirdparty/clDNN/common/include/OpenCL_CLHPP_License.txt;md5=3b83ef96387f14655fc854ddc3c6bd57 \ 31 file://inference-engine/thirdparty/clDNN/common/include/OpenCL_CLHPP_License.txt;md5=3b83ef96387f14655fc854ddc3c6bd57 \
32" 32"
33LICENSE_${PN}-vpu-firmware = "ISSL" 33LICENSE:${PN}-vpu-firmware = "ISSL"
34 34
35inherit cmake python3native 35inherit cmake python3native
36 36
@@ -64,7 +64,7 @@ DEPENDS += "libusb1 \
64 " 64 "
65 65
66COMPATIBLE_HOST = '(x86_64).*-linux' 66COMPATIBLE_HOST = '(x86_64).*-linux'
67COMPATIBLE_HOST_libc-musl = "null" 67COMPATIBLE_HOST:libc-musl = "null"
68 68
69#Disable opencl temporarily. intel-compute-runtime depends on 69#Disable opencl temporarily. intel-compute-runtime depends on
70#intel-graphics-compiler and vc-intrinsics and these two recipes fail to 70#intel-graphics-compiler and vc-intrinsics and these two recipes fail to
@@ -76,7 +76,7 @@ PACKAGECONFIG[python3] = "-DENABLE_PYTHON=ON -DPYTHON_LIBRARY=${PYTHON_LIBRARY}
76PACKAGECONFIG[vpu] = "-DENABLE_VPU=ON -DVPU_FIRMWARE_USB-MA2X8X_FILE=../mvnc/usb-ma2x8x.mvcmd -DVPU_FIRMWARE_PCIE-MA2X8X_FILE=../mvnc/pcie-ma2x8x.mvcmd,-DENABLE_VPU=OFF,,${PN}-vpu-firmware" 76PACKAGECONFIG[vpu] = "-DENABLE_VPU=ON -DVPU_FIRMWARE_USB-MA2X8X_FILE=../mvnc/usb-ma2x8x.mvcmd -DVPU_FIRMWARE_PCIE-MA2X8X_FILE=../mvnc/pcie-ma2x8x.mvcmd,-DENABLE_VPU=OFF,,${PN}-vpu-firmware"
77PACKAGECONFIG[verbose] = "-DVERBOSE_BUILD=1,-DVERBOSE_BUILD=0" 77PACKAGECONFIG[verbose] = "-DVERBOSE_BUILD=1,-DVERBOSE_BUILD=0"
78 78
79do_install_append() { 79do_install:append() {
80 if ${@bb.utils.contains('PACKAGECONFIG', 'vpu', 'true', 'false', d)}; then 80 if ${@bb.utils.contains('PACKAGECONFIG', 'vpu', 'true', 'false', d)}; then
81 install -m0644 ${WORKDIR}/mvnc/usb-ma2x8x.mvcmd ${D}${libdir}/ 81 install -m0644 ${WORKDIR}/mvnc/usb-ma2x8x.mvcmd ${D}${libdir}/
82 install -m0644 ${WORKDIR}/mvnc/pcie-ma2x8x.mvcmd ${D}${libdir}/ 82 install -m0644 ${WORKDIR}/mvnc/pcie-ma2x8x.mvcmd ${D}${libdir}/
@@ -102,13 +102,13 @@ do_install_append() {
102 102
103# Otherwise e.g. ros-openvino-toolkit-dynamic-vino-sample when using dldt-inference-engine uses dldt-inference-engine WORKDIR 103# Otherwise e.g. ros-openvino-toolkit-dynamic-vino-sample when using dldt-inference-engine uses dldt-inference-engine WORKDIR
104# instead of RSS 104# instead of RSS
105SSTATE_SCAN_FILES_append = " *.cmake" 105SSTATE_SCAN_FILES:append = " *.cmake"
106 106
107FILES_${PN}-dev = "${includedir} \ 107FILES:${PN}-dev = "${includedir} \
108 ${libdir}/cmake \ 108 ${libdir}/cmake \
109 " 109 "
110 110
111FILES_${PN} += "${libdir}/lib*${SOLIBSDEV} \ 111FILES:${PN} += "${libdir}/lib*${SOLIBSDEV} \
112 ${datadir}/openvino \ 112 ${datadir}/openvino \
113 ${libdir}/custom_kernels \ 113 ${libdir}/custom_kernels \
114 ${libdir}/plugins.xml \ 114 ${libdir}/plugins.xml \
@@ -118,12 +118,12 @@ FILES_${PN} += "${libdir}/lib*${SOLIBSDEV} \
118# Move inference engine samples into a separate package 118# Move inference engine samples into a separate package
119PACKAGES =+ "${PN}-samples ${PN}-vpu-firmware" 119PACKAGES =+ "${PN}-samples ${PN}-vpu-firmware"
120 120
121FILES_${PN}-samples = "${datadir}/inference_engine \ 121FILES:${PN}-samples = "${datadir}/inference_engine \
122 ${bindir} \ 122 ${bindir} \
123 " 123 "
124FILES_${PN}-vpu-firmware += "${libdir}/*.mvcmd" 124FILES:${PN}-vpu-firmware += "${libdir}/*.mvcmd"
125 125
126# Package for inference engine python API 126# Package for inference engine python API
127PACKAGES =+ "${PN}-${PYTHON_PN}" 127PACKAGES =+ "${PN}-${PYTHON_PN}"
128 128
129FILES_${PN}-${PYTHON_PN} = "${PYTHON_SITEPACKAGES_DIR}/openvino" 129FILES:${PN}-${PYTHON_PN} = "${PYTHON_SITEPACKAGES_DIR}/openvino"
diff --git a/recipes-bsp/formfactor/formfactor_0.0.bbappend b/recipes-bsp/formfactor/formfactor_0.0.bbappend
index 6dd422ae..d21c3bee 100644
--- a/recipes-bsp/formfactor/formfactor_0.0.bbappend
+++ b/recipes-bsp/formfactor/formfactor_0.0.bbappend
@@ -1 +1 @@
FILESEXTRAPATHS_prepend_intel-x86-common := "${THISDIR}/${PN}:" FILESEXTRAPATHS:prepend:intel-x86-common := "${THISDIR}/${PN}:"
diff --git a/recipes-core/images/core-image-minimal-initramfs.bbappend b/recipes-core/images/core-image-minimal-initramfs.bbappend
index 132f15b5..90ac28fd 100644
--- a/recipes-core/images/core-image-minimal-initramfs.bbappend
+++ b/recipes-core/images/core-image-minimal-initramfs.bbappend
@@ -1,2 +1,2 @@
1# Add i915 graphics firmware 1# Add i915 graphics firmware
2PACKAGE_INSTALL_append_intel-x86-common = " linux-firmware-i915" 2PACKAGE_INSTALL:append:intel-x86-common = " linux-firmware-i915"
diff --git a/recipes-core/libxcam/libxcam_1.5.0.bb b/recipes-core/libxcam/libxcam_1.5.0.bb
index fcd14bd4..33d7d803 100644
--- a/recipes-core/libxcam/libxcam_1.5.0.bb
+++ b/recipes-core/libxcam/libxcam_1.5.0.bb
@@ -11,7 +11,7 @@ SRCREV = "231a1d5243cd45c7a6b511b667f1ec52178fdda8"
11SRC_URI = "git://github.com/intel/libxcam.git;branch=1.5.0; \ 11SRC_URI = "git://github.com/intel/libxcam.git;branch=1.5.0; \
12" 12"
13 13
14COMPATIBLE_HOST_libc-musl = "null" 14COMPATIBLE_HOST:libc-musl = "null"
15 15
16PACKAGECONFIG ??= " gst \ 16PACKAGECONFIG ??= " gst \
17 ${@bb.utils.contains("DISTRO_FEATURES", "opengl", "gles", "", d)} \ 17 ${@bb.utils.contains("DISTRO_FEATURES", "opengl", "gles", "", d)} \
@@ -27,12 +27,12 @@ PACKAGECONFIG[gles] = "--enable-gles, --disable-gles, virtual/mesa"
27PACKAGECONFIG[vulkan] = "--enable-vulkan, --disable-vulkan, vulkan-loader virtual/mesa" 27PACKAGECONFIG[vulkan] = "--enable-vulkan, --disable-vulkan, vulkan-loader virtual/mesa"
28PACKAGECONFIG[dnn] = "--enable-dnn, --disable-dnn," 28PACKAGECONFIG[dnn] = "--enable-dnn, --disable-dnn,"
29 29
30do_install_append () { 30do_install:append () {
31 install -d ${D}${bindir}/libxcam 31 install -d ${D}${bindir}/libxcam
32 cp -r ${WORKDIR}/build/tests/.libs/* ${D}${bindir}/libxcam/ 32 cp -r ${WORKDIR}/build/tests/.libs/* ${D}${bindir}/libxcam/
33} 33}
34 34
35FILES_${PN} += "${libdir}/gstreamer-*/*.so" 35FILES:${PN} += "${libdir}/gstreamer-*/*.so"
36FILES_${PN}-test = "${bindir}/libxcam/*" 36FILES:${PN}-test = "${bindir}/libxcam/*"
37PACKAGES =+ "${PN}-test" 37PACKAGES =+ "${PN}-test"
38RDEPENDS_${PN}-test =+ "bash" 38RDEPENDS:${PN}-test =+ "bash"
diff --git a/recipes-core/microcode/intel-microcode_20210608.bb b/recipes-core/microcode/intel-microcode_20210608.bb
index 8a53421f..0487b219 100644
--- a/recipes-core/microcode/intel-microcode_20210608.bb
+++ b/recipes-core/microcode/intel-microcode_20210608.bb
@@ -63,6 +63,6 @@ addtask deploy before do_build after do_compile
63 63
64PACKAGES = "${PN}" 64PACKAGES = "${PN}"
65 65
66FILES_${PN} = "${nonarch_base_libdir}" 66FILES:${PN} = "${nonarch_base_libdir}"
67 67
68UPSTREAM_CHECK_GITTAGREGEX = "^microcode-(?P<pver>(\d+)[a-z]*)$" 68UPSTREAM_CHECK_GITTAGREGEX = "^microcode-(?P<pver>(\d+)[a-z]*)$"
diff --git a/recipes-core/microcode/iucode-tool_2.3.1.bb b/recipes-core/microcode/iucode-tool_2.3.1.bb
index df74a8e9..1d45f9a7 100644
--- a/recipes-core/microcode/iucode-tool_2.3.1.bb
+++ b/recipes-core/microcode/iucode-tool_2.3.1.bb
@@ -16,10 +16,10 @@ LICENSE = "GPLv2+"
16LIC_FILES_CHKSUM = "file://COPYING;md5=751419260aa954499f7abaabaa882bbe \ 16LIC_FILES_CHKSUM = "file://COPYING;md5=751419260aa954499f7abaabaa882bbe \
17 file://iucode_tool.c;beginline=1;endline=15;md5=71eeab3190360ff0267101b570874756" 17 file://iucode_tool.c;beginline=1;endline=15;md5=71eeab3190360ff0267101b570874756"
18 18
19DEPENDS_append_libc-musl = " argp-standalone" 19DEPENDS:append:libc-musl = " argp-standalone"
20 20
21SRC_URI = "https://gitlab.com/iucode-tool/releases/raw/master/iucode-tool_${PV}.tar.xz" 21SRC_URI = "https://gitlab.com/iucode-tool/releases/raw/master/iucode-tool_${PV}.tar.xz"
22SRC_URI_append_libc-musl = " file://0001-Makefile.am-Add-arg-parse-library-for-MUSL-support.patch" 22SRC_URI:append:libc-musl = " file://0001-Makefile.am-Add-arg-parse-library-for-MUSL-support.patch"
23 23
24SRC_URI[md5sum] = "63b33cc0ea1f8c73b443412abbf39d6f" 24SRC_URI[md5sum] = "63b33cc0ea1f8c73b443412abbf39d6f"
25SRC_URI[sha256sum] = "12b88efa4d0d95af08db05a50b3dcb217c0eb2bfc67b483779e33d498ddb2f95" 25SRC_URI[sha256sum] = "12b88efa4d0d95af08db05a50b3dcb217c0eb2bfc67b483779e33d498ddb2f95"
diff --git a/recipes-core/ovmf/ovmf-shell-image-enrollkeys.bb b/recipes-core/ovmf/ovmf-shell-image-enrollkeys.bb
index b20f6e58..ca3cfc15 100644
--- a/recipes-core/ovmf/ovmf-shell-image-enrollkeys.bb
+++ b/recipes-core/ovmf/ovmf-shell-image-enrollkeys.bb
@@ -1,10 +1,10 @@
1require recipes-core/ovmf/ovmf-shell-image.bb 1require recipes-core/ovmf/ovmf-shell-image.bb
2 2
3WKS_SEARCH_PATH_append = ":${COREBASE}/meta/recipes-core/ovmf" 3WKS_SEARCH_PATH:append = ":${COREBASE}/meta/recipes-core/ovmf"
4 4
5QB_DRIVE_TYPE = "/dev/vd" 5QB_DRIVE_TYPE = "/dev/vd"
6 6
7do_image_append() { 7do_image:append() {
8 cat > ${IMAGE_ROOTFS}/startup.nsh << EOF 8 cat > ${IMAGE_ROOTFS}/startup.nsh << EOF
9EnrollDefaultKeys 9EnrollDefaultKeys
10reset 10reset
diff --git a/recipes-core/ovmf/ovmf_%.bbappend b/recipes-core/ovmf/ovmf_%.bbappend
index bbf5fa32..34a9dd1b 100644
--- a/recipes-core/ovmf/ovmf_%.bbappend
+++ b/recipes-core/ovmf/ovmf_%.bbappend
@@ -1,6 +1,6 @@
1FILESEXTRAPATHS_prepend_intel-x86-common := "${THISDIR}/files:" 1FILESEXTRAPATHS:prepend:intel-x86-common := "${THISDIR}/files:"
2 2
3SRC_URI_append_intel-x86-common = " \ 3SRC_URI:append:intel-x86-common = " \
4 file://0001-ovmf-RefkitTestCA-TEST-UEFI-SecureBoot.patch \ 4 file://0001-ovmf-RefkitTestCA-TEST-UEFI-SecureBoot.patch \
5" 5"
6PACKAGECONFIG_append_intel-x86-common = " secureboot" 6PACKAGECONFIG:append:intel-x86-common = " secureboot"
diff --git a/recipes-core/zlib/zlib-intel_1.2.11.1.jtkv6.3.bb b/recipes-core/zlib/zlib-intel_1.2.11.1.jtkv6.3.bb
index 65303bff..617369fd 100644
--- a/recipes-core/zlib/zlib-intel_1.2.11.1.jtkv6.3.bb
+++ b/recipes-core/zlib/zlib-intel_1.2.11.1.jtkv6.3.bb
@@ -1,18 +1,18 @@
1require recipes-core/zlib/zlib_1.2.11.bb 1require recipes-core/zlib/zlib_1.2.11.bb
2 2
3FILESEXTRAPATHS_append = ":${COREBASE}/meta/recipes-core/zlib/zlib" 3FILESEXTRAPATHS:append = ":${COREBASE}/meta/recipes-core/zlib/zlib"
4 4
5SUMMARY = "Zlib Compression Library (Intel-tuned)" 5SUMMARY = "Zlib Compression Library (Intel-tuned)"
6 6
7PROVIDES = "zlib" 7PROVIDES = "zlib"
8 8
9SRC_URI_remove = "${SOURCEFORGE_MIRROR}/libpng/${BPN}/${PV}/${BPN}-${PV}.tar.xz" 9SRC_URI:remove = "${SOURCEFORGE_MIRROR}/libpng/${BPN}/${PV}/${BPN}-${PV}.tar.xz"
10SRC_URI_prepend = "git://github.com/jtkukunas/zlib.git;protocol=git " 10SRC_URI:prepend = "git://github.com/jtkukunas/zlib.git;protocol=git "
11 11
12SRCREV = "a43a247bfa16ec5368747b5b64f11ea5ca033010" 12SRCREV = "a43a247bfa16ec5368747b5b64f11ea5ca033010"
13S = "${WORKDIR}/git" 13S = "${WORKDIR}/git"
14 14
15RPROVIDES_${PN} += "zlib" 15RPROVIDES:${PN} += "zlib"
16RPROVIDES_${PN}-ptest += "zlib-ptest" 16RPROVIDES:${PN}-ptest += "zlib-ptest"
17 17
18UPSTREAM_CHECK_GITTAGREGEX = "^v(?P<pver>(\d+(\.\d+)+)_jtkv(\d+(\.\d+)+))$" 18UPSTREAM_CHECK_GITTAGREGEX = "^v(?P<pver>(\d+(\.\d+)+)_jtkv(\d+(\.\d+)+))$"
diff --git a/recipes-graphics/gmmlib/gmmlib_21.2.1.bb b/recipes-graphics/gmmlib/gmmlib_21.2.1.bb
index 90700bc4..f36e2979 100644
--- a/recipes-graphics/gmmlib/gmmlib_21.2.1.bb
+++ b/recipes-graphics/gmmlib/gmmlib_21.2.1.bb
@@ -14,7 +14,7 @@ SRCREV = "9253eb8b949515d2a5030f99163a35567fe81838"
14 14
15S = "${WORKDIR}/git" 15S = "${WORKDIR}/git"
16 16
17COMPATIBLE_HOST_x86-x32 = "null" 17COMPATIBLE_HOST:x86-x32 = "null"
18 18
19UPSTREAM_CHECK_GITTAGREGEX = "^intel-gmmlib-(?P<pver>(\d+(\.\d+)+))$" 19UPSTREAM_CHECK_GITTAGREGEX = "^intel-gmmlib-(?P<pver>(\d+(\.\d+)+))$"
20 20
diff --git a/recipes-graphics/hdcp/hdcp_21.1.0.bb b/recipes-graphics/hdcp/hdcp_21.1.0.bb
index 016e8e0a..b336c7ac 100644
--- a/recipes-graphics/hdcp/hdcp_21.1.0.bb
+++ b/recipes-graphics/hdcp/hdcp_21.1.0.bb
@@ -20,7 +20,7 @@ REQUIRED_DISTRO_FEATURES = "systemd"
20DEPENDS = "libdrm virtual/mesa systemd" 20DEPENDS = "libdrm virtual/mesa systemd"
21 21
22USERADD_PACKAGES = "${PN}" 22USERADD_PACKAGES = "${PN}"
23USERADD_PARAM_${PN} = "--system --no-create-home --shell /bin/false --gid nogroup media" 23USERADD_PARAM:${PN} = "--system --no-create-home --shell /bin/false --gid nogroup media"
24 24
25SYSTEMD_SERVICE_${PN} = "hdcpd.service" 25SYSTEMD_SERVICE:${PN} = "hdcpd.service"
26SYSTEMD_AUTO_ENABLE = "disable" 26SYSTEMD_AUTO_ENABLE = "disable"
diff --git a/recipes-graphics/libva/libva-intel-utils_2.12.0.bb b/recipes-graphics/libva/libva-intel-utils_2.12.0.bb
index f083703a..5eb604dd 100644
--- a/recipes-graphics/libva/libva-intel-utils_2.12.0.bb
+++ b/recipes-graphics/libva/libva-intel-utils_2.12.0.bb
@@ -32,4 +32,4 @@ PACKAGECONFIG[x11] = "-Dx11=true, -Dx11=false,virtual/libx11 libxext libxfixes"
32PACKAGECONFIG[wayland] = "-Dwayland=true, -Dwayland=false,wayland-native wayland" 32PACKAGECONFIG[wayland] = "-Dwayland=true, -Dwayland=false,wayland-native wayland"
33 33
34PROVIDES = "libva-utils" 34PROVIDES = "libva-utils"
35RPROVIDES_${PN} += "libva-utils" 35RPROVIDES:${PN} += "libva-utils"
diff --git a/recipes-graphics/libva/libva-intel_2.12.0.bb b/recipes-graphics/libva/libva-intel_2.12.0.bb
index 15a9391a..4e48496a 100644
--- a/recipes-graphics/libva/libva-intel_2.12.0.bb
+++ b/recipes-graphics/libva/libva-intel_2.12.0.bb
@@ -40,15 +40,15 @@ PACKAGECONFIG[wayland] = "-Dwith_wayland=yes,-Dwith_wayland=no,wayland-native wa
40 40
41PACKAGES =+ "${PN}-x11 ${PN}-glx ${PN}-wayland" 41PACKAGES =+ "${PN}-x11 ${PN}-glx ${PN}-wayland"
42 42
43RDEPENDS_${PN}-x11 =+ "${PN}" 43RDEPENDS:${PN}-x11 =+ "${PN}"
44RDEPENDS_${PN}-glx =+ "${PN}-x11" 44RDEPENDS:${PN}-glx =+ "${PN}-x11"
45 45
46FILES_${PN}-x11 =+ "${libdir}/libva-x11*${SOLIBS}" 46FILES:${PN}-x11 =+ "${libdir}/libva-x11*${SOLIBS}"
47FILES_${PN}-glx =+ "${libdir}/libva-glx*${SOLIBS}" 47FILES:${PN}-glx =+ "${libdir}/libva-glx*${SOLIBS}"
48FILES_${PN}-wayland =+ "${libdir}/libva-wayland*${SOLIBS}" 48FILES:${PN}-wayland =+ "${libdir}/libva-wayland*${SOLIBS}"
49 49
50PROVIDES += "libva" 50PROVIDES += "libva"
51RPROVIDES_${PN} += "libva" 51RPROVIDES:${PN} += "libva"
52RPROVIDES_${PN}-x11 += "libva-x11" 52RPROVIDES:${PN}-x11 += "libva-x11"
53RPROVIDES_${PN}-glx += "libva-glx" 53RPROVIDES:${PN}-glx += "libva-glx"
54RPROVIDES_${PN}-wayland += "libva-wayland" 54RPROVIDES:${PN}-wayland += "libva-wayland"
diff --git a/recipes-kernel/intel-ethernet/ixgbe_5.11.3.bb b/recipes-kernel/intel-ethernet/ixgbe_5.11.3.bb
index 8c7fb09c..2845abee 100644
--- a/recipes-kernel/intel-ethernet/ixgbe_5.11.3.bb
+++ b/recipes-kernel/intel-ethernet/ixgbe_5.11.3.bb
@@ -21,12 +21,12 @@ S = "${WORKDIR}/${BP}/src"
21 21
22EXTRA_OEMAKE='KSRC="${STAGING_KERNEL_BUILDDIR}" KVER="${KERNEL_VERSION}" INSTALL_MOD_PATH="${D}"' 22EXTRA_OEMAKE='KSRC="${STAGING_KERNEL_BUILDDIR}" KVER="${KERNEL_VERSION}" INSTALL_MOD_PATH="${D}"'
23 23
24KERNEL_MODULE_AUTOLOAD_append_intel-core2-32 = " ixgbe" 24KERNEL_MODULE_AUTOLOAD:append:intel-core2-32 = " ixgbe"
25KERNEL_MODULE_AUTOLOAD_append_intel-corei7-64 = " ixgbe" 25KERNEL_MODULE_AUTOLOAD:append:intel-corei7-64 = " ixgbe"
26 26
27inherit module 27inherit module
28 28
29do_install_append () { 29do_install:append () {
30 # Install scripts/set_irq_affinity 30 # Install scripts/set_irq_affinity
31 install -d ${D}${sysconfdir}/network 31 install -d ${D}${sysconfdir}/network
32 install -m 0755 ${S}/../scripts/set_irq_affinity ${D}${sysconfdir}/network 32 install -m 0755 ${S}/../scripts/set_irq_affinity ${D}${sysconfdir}/network
@@ -36,7 +36,7 @@ do_install_append () {
36 36
37PACKAGES += "${PN}-script" 37PACKAGES += "${PN}-script"
38 38
39FILES_${PN}-script += "${sysconfdir}/network/set_irq_affinity" 39FILES:${PN}-script += "${sysconfdir}/network/set_irq_affinity"
40 40
41EXCLUDE_FROM_WORLD = "1" 41EXCLUDE_FROM_WORLD = "1"
42 42
diff --git a/recipes-kernel/intel-ethernet/ixgbevf_4.11.1.bb b/recipes-kernel/intel-ethernet/ixgbevf_4.11.1.bb
index 2867269a..2fa23a68 100644
--- a/recipes-kernel/intel-ethernet/ixgbevf_4.11.1.bb
+++ b/recipes-kernel/intel-ethernet/ixgbevf_4.11.1.bb
@@ -24,12 +24,12 @@ S = "${WORKDIR}/${BP}/src"
24 24
25EXTRA_OEMAKE='KSRC="${STAGING_KERNEL_BUILDDIR}" KVER="${KERNEL_VERSION}" INSTALL_MOD_PATH="${D}"' 25EXTRA_OEMAKE='KSRC="${STAGING_KERNEL_BUILDDIR}" KVER="${KERNEL_VERSION}" INSTALL_MOD_PATH="${D}"'
26 26
27KERNEL_MODULE_AUTOLOAD_append_intel-core2-32 = " ixgbevf" 27KERNEL_MODULE_AUTOLOAD:append:intel-core2-32 = " ixgbevf"
28KERNEL_MODULE_AUTOLOAD_append_intel-corei7-64 = " ixgbevf" 28KERNEL_MODULE_AUTOLOAD:append:intel-corei7-64 = " ixgbevf"
29 29
30inherit module 30inherit module
31 31
32do_install_append () { 32do_install:append () {
33 # Install scripts/set_irq_affinity 33 # Install scripts/set_irq_affinity
34 install -d ${D}${sysconfdir}/network 34 install -d ${D}${sysconfdir}/network
35 install -m 0755 ${S}/../scripts/set_irq_affinity ${D}${sysconfdir}/network 35 install -m 0755 ${S}/../scripts/set_irq_affinity ${D}${sysconfdir}/network
@@ -39,7 +39,7 @@ do_install_append () {
39 39
40PACKAGES += "${PN}-script" 40PACKAGES += "${PN}-script"
41 41
42FILES_${PN}-script += "${sysconfdir}/network/set_irq_affinity" 42FILES:${PN}-script += "${sysconfdir}/network/set_irq_affinity"
43 43
44# This was fixed in kernel v4.4 44# This was fixed in kernel v4.4
45CVE_CHECK_WHITELIST += "CVE-2015-1142857" 45CVE_CHECK_WHITELIST += "CVE-2015-1142857"
diff --git a/recipes-kernel/iwlwifi/backport-iwlwifi_git.bb b/recipes-kernel/iwlwifi/backport-iwlwifi_git.bb
index 9eb0a45d..a06a8e26 100644
--- a/recipes-kernel/iwlwifi/backport-iwlwifi_git.bb
+++ b/recipes-kernel/iwlwifi/backport-iwlwifi_git.bb
@@ -36,16 +36,16 @@ do_configure() {
36 36
37MODULES_INSTALL_TARGET="install" 37MODULES_INSTALL_TARGET="install"
38 38
39do_install_append() { 39do_install:append() {
40 ## install configs and service scripts 40 ## install configs and service scripts
41 install -d ${D}${sysconfdir}/modprobe.d 41 install -d ${D}${sysconfdir}/modprobe.d
42 install -m 0644 ${WORKDIR}/iwlwifi.conf ${D}${sysconfdir}/modprobe.d 42 install -m 0644 ${WORKDIR}/iwlwifi.conf ${D}${sysconfdir}/modprobe.d
43} 43}
44 44
45RDEPENDS_${PN} = "linux-firmware-iwlwifi" 45RDEPENDS:${PN} = "linux-firmware-iwlwifi"
46 46
47KERNEL_MODULE_AUTOLOAD_append_core2-32-intel-common = " iwlwifi" 47KERNEL_MODULE_AUTOLOAD:append:core2-32-intel-common = " iwlwifi"
48KERNEL_MODULE_AUTOLOAD_append_corei7-64-intel-common = " iwlwifi" 48KERNEL_MODULE_AUTOLOAD:append:corei7-64-intel-common = " iwlwifi"
49 49
50KERNEL_MODULE_PACKAGE_PREFIX = "backport-iwlwifi" 50KERNEL_MODULE_PACKAGE_PREFIX = "backport-iwlwifi"
51 51
diff --git a/recipes-kernel/linux/linux-intel-dev.bb b/recipes-kernel/linux/linux-intel-dev.bb
index 9cabe7b9..41f327b8 100644
--- a/recipes-kernel/linux/linux-intel-dev.bb
+++ b/recipes-kernel/linux/linux-intel-dev.bb
@@ -1,14 +1,14 @@
1require recipes-kernel/linux/linux-yocto.inc 1require recipes-kernel/linux/linux-yocto.inc
2require recipes-kernel/linux/meta-intel-compat-kernel.inc 2require recipes-kernel/linux/meta-intel-compat-kernel.inc
3 3
4FILESEXTRAPATHS_prepend := "${THISDIR}/linux-intel:" 4FILESEXTRAPATHS:prepend := "${THISDIR}/linux-intel:"
5 5
6SRC_URI = " \ 6SRC_URI = " \
7 git://github.com/intel/mainline-tracking.git;protocol=https;name=machine;nobranch=1; \ 7 git://github.com/intel/mainline-tracking.git;protocol=https;name=machine;nobranch=1; \
8 git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=${KMETA_BRANCH};destsuffix=${KMETA} \ 8 git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=${KMETA_BRANCH};destsuffix=${KMETA} \
9 file://0001-menuconfig-mconf-cfg-Allow-specification-of-ncurses-.patch \ 9 file://0001-menuconfig-mconf-cfg-Allow-specification-of-ncurses-.patch \
10 " 10 "
11SRC_URI_append_core2-32-intel-common = " file://disable_skylake_sound.cfg" 11SRC_URI:append:core2-32-intel-common = " file://disable_skylake_sound.cfg"
12 12
13KMETA = "kernel-meta" 13KMETA = "kernel-meta"
14KCONF_BSP_AUDIT_LEVEL = "2" 14KCONF_BSP_AUDIT_LEVEL = "2"
@@ -29,6 +29,6 @@ PV = "${LINUX_VERSION}+git${SRCPV}"
29COMPATIBLE_MACHINE ?= "(intel-corei7-64|intel-core2-32)" 29COMPATIBLE_MACHINE ?= "(intel-corei7-64|intel-core2-32)"
30 30
31# Functionality flags 31# Functionality flags
32KERNEL_FEATURES_append = " ${KERNEL_EXTRA_FEATURES}" 32KERNEL_FEATURES:append = " ${KERNEL_EXTRA_FEATURES}"
33KERNEL_FEATURES_append = " ${@bb.utils.contains("TUNE_FEATURES", "mx32", " cfg/x32.scc", "" ,d)}" 33KERNEL_FEATURES:append = " ${@bb.utils.contains("TUNE_FEATURES", "mx32", " cfg/x32.scc", "" ,d)}"
34KERNEL_EXTRA_FEATURES ?= "features/netfilter/netfilter.scc features/security/security.scc" 34KERNEL_EXTRA_FEATURES ?= "features/netfilter/netfilter.scc features/security/security.scc"
diff --git a/recipes-kernel/linux/linux-intel-rt_5.10.bb b/recipes-kernel/linux/linux-intel-rt_5.10.bb
index 361b5dfc..b073abd1 100644
--- a/recipes-kernel/linux/linux-intel-rt_5.10.bb
+++ b/recipes-kernel/linux/linux-intel-rt_5.10.bb
@@ -10,7 +10,7 @@ python () {
10} 10}
11 11
12LIC_FILES_CHKSUM = "file://COPYING;md5=6bc538ed5bd9a7fc9398086aedcd7e46" 12LIC_FILES_CHKSUM = "file://COPYING;md5=6bc538ed5bd9a7fc9398086aedcd7e46"
13SRC_URI_append = " file://0001-menuconfig-mconf-cfg-Allow-specification-of-ncurses-.patch \ 13SRC_URI:append = " file://0001-menuconfig-mconf-cfg-Allow-specification-of-ncurses-.patch \
14 " 14 "
15 15
16KBRANCH = "5.10/preempt-rt" 16KBRANCH = "5.10/preempt-rt"
diff --git a/recipes-kernel/linux/linux-intel-rt_5.4.bb b/recipes-kernel/linux/linux-intel-rt_5.4.bb
index 43199544..2669ac78 100644
--- a/recipes-kernel/linux/linux-intel-rt_5.4.bb
+++ b/recipes-kernel/linux/linux-intel-rt_5.4.bb
@@ -10,7 +10,7 @@ python () {
10} 10}
11 11
12LIC_FILES_CHKSUM = "file://COPYING;md5=bbea815ee2795b2f4230826c0c6b8814" 12LIC_FILES_CHKSUM = "file://COPYING;md5=bbea815ee2795b2f4230826c0c6b8814"
13SRC_URI_append = " file://0001-menuconfig-mconf-cfg-Allow-specification-of-ncurses-.patch \ 13SRC_URI:append = " file://0001-menuconfig-mconf-cfg-Allow-specification-of-ncurses-.patch \
14" 14"
15 15
16KBRANCH = "5.4/preempt-rt" 16KBRANCH = "5.4/preempt-rt"
diff --git a/recipes-kernel/linux/linux-intel.inc b/recipes-kernel/linux/linux-intel.inc
index c6163973..70a7e7dc 100644
--- a/recipes-kernel/linux/linux-intel.inc
+++ b/recipes-kernel/linux/linux-intel.inc
@@ -1,7 +1,7 @@
1require recipes-kernel/linux/linux-yocto.inc 1require recipes-kernel/linux/linux-yocto.inc
2require recipes-kernel/linux/meta-intel-compat-kernel.inc 2require recipes-kernel/linux/meta-intel-compat-kernel.inc
3 3
4FILESEXTRAPATHS_prepend := "${THISDIR}/linux-intel:" 4FILESEXTRAPATHS:prepend := "${THISDIR}/linux-intel:"
5 5
6KERNEL_CONFIG_URI ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=${KMETA_BRANCH};destsuffix=${KMETA}" 6KERNEL_CONFIG_URI ?= "git://git.yoctoproject.org/yocto-kernel-cache;type=kmeta;name=meta;branch=${KMETA_BRANCH};destsuffix=${KMETA}"
7 7
@@ -10,8 +10,8 @@ SRC_URI = " \
10 ${KERNEL_CONFIG_URI} \ 10 ${KERNEL_CONFIG_URI} \
11 " 11 "
12 12
13SRC_URI_append = " file://enable_lynxpoint_gpio.cfg" 13SRC_URI:append = " file://enable_lynxpoint_gpio.cfg"
14SRC_URI_append_core2-32-intel-common = " file://disable_skylake_sound.cfg" 14SRC_URI:append:core2-32-intel-common = " file://disable_skylake_sound.cfg"
15 15
16LINUX_VERSION_EXTENSION ??= "-intel-pk-${LINUX_KERNEL_TYPE}" 16LINUX_VERSION_EXTENSION ??= "-intel-pk-${LINUX_KERNEL_TYPE}"
17 17
@@ -22,5 +22,5 @@ KCONF_BSP_AUDIT_LEVEL = "2"
22 22
23COMPATIBLE_MACHINE ?= "(intel-corei7-64|intel-core2-32)" 23COMPATIBLE_MACHINE ?= "(intel-corei7-64|intel-core2-32)"
24 24
25KERNEL_FEATURES_append = " ${KERNEL_EXTRA_FEATURES}" 25KERNEL_FEATURES:append = " ${KERNEL_EXTRA_FEATURES}"
26KERNEL_FEATURES_append = " ${@bb.utils.contains("TUNE_FEATURES", "mx32", " cfg/x32.scc", "" ,d)}" 26KERNEL_FEATURES:append = " ${@bb.utils.contains("TUNE_FEATURES", "mx32", " cfg/x32.scc", "" ,d)}"
diff --git a/recipes-kernel/linux/linux-intel_5.10.bb b/recipes-kernel/linux/linux-intel_5.10.bb
index dae4118a..8ddac046 100644
--- a/recipes-kernel/linux/linux-intel_5.10.bb
+++ b/recipes-kernel/linux/linux-intel_5.10.bb
@@ -5,7 +5,7 @@ KMETA_BRANCH = "yocto-5.10"
5 5
6LIC_FILES_CHKSUM = "file://COPYING;md5=6bc538ed5bd9a7fc9398086aedcd7e46" 6LIC_FILES_CHKSUM = "file://COPYING;md5=6bc538ed5bd9a7fc9398086aedcd7e46"
7 7
8SRC_URI_append = " file://0001-menuconfig-mconf-cfg-Allow-specification-of-ncurses-.patch \ 8SRC_URI:append = " file://0001-menuconfig-mconf-cfg-Allow-specification-of-ncurses-.patch \
9 " 9 "
10 10
11DEPENDS += "elfutils-native openssl-native util-linux-native" 11DEPENDS += "elfutils-native openssl-native util-linux-native"
@@ -15,8 +15,8 @@ SRCREV_machine ?= "916ac934a98bc8206c1b5e1500c891dbcb43aac7"
15SRCREV_meta ?= "cd049697e9b2d3e9118110d476075ff8f87202cc" 15SRCREV_meta ?= "cd049697e9b2d3e9118110d476075ff8f87202cc"
16 16
17# For Crystalforest and Romley 17# For Crystalforest and Romley
18KERNEL_MODULE_AUTOLOAD_append_core2-32-intel-common = " uio" 18KERNEL_MODULE_AUTOLOAD:append:core2-32-intel-common = " uio"
19KERNEL_MODULE_AUTOLOAD_append_corei7-64-intel-common = " uio" 19KERNEL_MODULE_AUTOLOAD:append:corei7-64-intel-common = " uio"
20 20
21# Functionality flags 21# Functionality flags
22KERNEL_EXTRA_FEATURES ?= "features/netfilter/netfilter.scc features/security/security.scc" 22KERNEL_EXTRA_FEATURES ?= "features/netfilter/netfilter.scc features/security/security.scc"
@@ -29,4 +29,4 @@ KCONF_BSP_AUDIT_LEVEL = "0"
29 29
30# Disabling CONFIG_SND_SOC_INTEL_SKYLAKE for 32-bit, does not allow to set CONFIG_SND_SOC_INTEL_SST too, which 30# Disabling CONFIG_SND_SOC_INTEL_SKYLAKE for 32-bit, does not allow to set CONFIG_SND_SOC_INTEL_SST too, which
31# causes config warning too. 31# causes config warning too.
32KCONF_AUDIT_LEVEL_core2-32-intel-common = "0" 32KCONF_AUDIT_LEVEL:core2-32-intel-common = "0"
diff --git a/recipes-kernel/linux/linux-intel_5.4.bb b/recipes-kernel/linux/linux-intel_5.4.bb
index 5886d8b9..30d969a3 100644
--- a/recipes-kernel/linux/linux-intel_5.4.bb
+++ b/recipes-kernel/linux/linux-intel_5.4.bb
@@ -5,7 +5,7 @@ KMETA_BRANCH = "yocto-5.4"
5 5
6LIC_FILES_CHKSUM = "file://COPYING;md5=bbea815ee2795b2f4230826c0c6b8814" 6LIC_FILES_CHKSUM = "file://COPYING;md5=bbea815ee2795b2f4230826c0c6b8814"
7 7
8SRC_URI_append = " file://0001-menuconfig-mconf-cfg-Allow-specification-of-ncurses-.patch" 8SRC_URI:append = " file://0001-menuconfig-mconf-cfg-Allow-specification-of-ncurses-.patch"
9 9
10DEPENDS += "elfutils-native openssl-native util-linux-native" 10DEPENDS += "elfutils-native openssl-native util-linux-native"
11 11
@@ -14,8 +14,8 @@ SRCREV_machine ?= "7a821854911e315d3ed3fe2fc626e12906c9ab24"
14SRCREV_meta ?= "656383210d369bbd49a7a278c6c7c7313f0df825" 14SRCREV_meta ?= "656383210d369bbd49a7a278c6c7c7313f0df825"
15 15
16# For Crystalforest and Romley 16# For Crystalforest and Romley
17KERNEL_MODULE_AUTOLOAD_append_core2-32-intel-common = " uio" 17KERNEL_MODULE_AUTOLOAD:append:core2-32-intel-common = " uio"
18KERNEL_MODULE_AUTOLOAD_append_corei7-64-intel-common = " uio" 18KERNEL_MODULE_AUTOLOAD:append:corei7-64-intel-common = " uio"
19 19
20# Functionality flags 20# Functionality flags
21KERNEL_EXTRA_FEATURES ?= "features/netfilter/netfilter.scc features/security/security.scc" 21KERNEL_EXTRA_FEATURES ?= "features/netfilter/netfilter.scc features/security/security.scc"
diff --git a/recipes-kernel/linux/linux-yocto-dev.bbappend b/recipes-kernel/linux/linux-yocto-dev.bbappend
index 6173148c..deb19940 100644
--- a/recipes-kernel/linux/linux-yocto-dev.bbappend
+++ b/recipes-kernel/linux/linux-yocto-dev.bbappend
@@ -1,21 +1,21 @@
1FILESEXTRAPATHS_prepend_intel-x86-common := "${THISDIR}/${PN}:" 1FILESEXTRAPATHS:prepend:intel-x86-common := "${THISDIR}/${PN}:"
2 2
3COMPATIBLE_MACHINE_core2-32-intel-common = "${MACHINE}" 3COMPATIBLE_MACHINE:core2-32-intel-common = "${MACHINE}"
4KMACHINE_core2-32-intel-common = "intel-core2-32" 4KMACHINE:core2-32-intel-common = "intel-core2-32"
5KERNEL_FEATURES_append_core2-32-intel-common = "${KERNEL_FEATURES_INTEL_COMMON}" 5KERNEL_FEATURES:append:core2-32-intel-common = "${KERNEL_FEATURES_INTEL_COMMON}"
6 6
7COMPATIBLE_MACHINE_corei7-64-intel-common = "${MACHINE}" 7COMPATIBLE_MACHINE:corei7-64-intel-common = "${MACHINE}"
8KMACHINE_corei7-64-intel-common = "intel-corei7-64" 8KMACHINE:corei7-64-intel-common = "intel-corei7-64"
9KERNEL_FEATURES_append_corei7-64-intel-common = "${KERNEL_FEATURES_INTEL_COMMON}" 9KERNEL_FEATURES:append:corei7-64-intel-common = "${KERNEL_FEATURES_INTEL_COMMON}"
10 10
11# NOTE: We do not set SRCREVs here as -dev is intended to be built with AUTOREV 11# NOTE: We do not set SRCREVs here as -dev is intended to be built with AUTOREV
12# and setting them here breaks the default mechanism to use AUTOREV if the 12# and setting them here breaks the default mechanism to use AUTOREV if the
13# default SRCREV is set and linux-yocto-dev is the preferred provider. 13# default SRCREV is set and linux-yocto-dev is the preferred provider.
14 14
15# For Crystalforest and Romley 15# For Crystalforest and Romley
16KERNEL_MODULE_AUTOLOAD_append_core2-32-intel-common = " uio" 16KERNEL_MODULE_AUTOLOAD:append:core2-32-intel-common = " uio"
17KERNEL_MODULE_AUTOLOAD_append_corei7-64-intel-common = " uio" 17KERNEL_MODULE_AUTOLOAD:append:corei7-64-intel-common = " uio"
18 18
19# For FRI2, NUC 19# For FRI2, NUC
20KERNEL_MODULE_AUTOLOAD_append_core2-32-intel-common = " iwlwifi" 20KERNEL_MODULE_AUTOLOAD:append:core2-32-intel-common = " iwlwifi"
21KERNEL_MODULE_AUTOLOAD_append_corei7-64-intel-common = " iwlwifi" 21KERNEL_MODULE_AUTOLOAD:append:corei7-64-intel-common = " iwlwifi"
diff --git a/recipes-kernel/linux/linux-yocto_%.bbappend b/recipes-kernel/linux/linux-yocto_%.bbappend
index 7c29be14..7657b02f 100644
--- a/recipes-kernel/linux/linux-yocto_%.bbappend
+++ b/recipes-kernel/linux/linux-yocto_%.bbappend
@@ -1,4 +1,4 @@
1# The kernel build is 64-bit regardless, so include both common overrides. 1# The kernel build is 64-bit regardless, so include both common overrides.
2# Without this, the kernel will be missing vars that make it buildable for the 2# Without this, the kernel will be missing vars that make it buildable for the
3# intel-corei7-64 machine. 3# intel-corei7-64 machine.
4MACHINEOVERRIDES_prepend_corei7-64-x32-intel-common = "corei7-64-intel-common:" 4MACHINEOVERRIDES:prepend:corei7-64-x32-intel-common = "corei7-64-intel-common:"
diff --git a/recipes-multimedia/itt/itt_3.18.13.bb b/recipes-multimedia/itt/itt_3.18.13.bb
index d134c014..352d1166 100644
--- a/recipes-multimedia/itt/itt_3.18.13.bb
+++ b/recipes-multimedia/itt/itt_3.18.13.bb
@@ -34,4 +34,4 @@ do_install() {
34 34
35} 35}
36 36
37RDEPENDS_${PN}-dev_remove = "${PN} (= ${EXTENDPKGV})" 37RDEPENDS:${PN}-dev:remove = "${PN} (= ${EXTENDPKGV})"
diff --git a/recipes-multimedia/libva/intel-media-driver_21.2.3.bb b/recipes-multimedia/libva/intel-media-driver_21.2.3.bb
index e955f15a..fed52120 100644
--- a/recipes-multimedia/libva/intel-media-driver_21.2.3.bb
+++ b/recipes-multimedia/libva/intel-media-driver_21.2.3.bb
@@ -26,14 +26,14 @@ SRC_URI = "git://github.com/intel/media-driver.git;protocol=https;nobranch=1 \
26SRCREV = "008fb3b400dd906276f999eeaef3f49d52fd3d05" 26SRCREV = "008fb3b400dd906276f999eeaef3f49d52fd3d05"
27S = "${WORKDIR}/git" 27S = "${WORKDIR}/git"
28 28
29COMPATIBLE_HOST_x86-x32 = "null" 29COMPATIBLE_HOST:x86-x32 = "null"
30 30
31UPSTREAM_CHECK_GITTAGREGEX = "^intel-media-(?P<pver>(?!600\..*)\d+(\.\d+)+)$" 31UPSTREAM_CHECK_GITTAGREGEX = "^intel-media-(?P<pver>(?!600\..*)\d+(\.\d+)+)$"
32 32
33inherit cmake pkgconfig 33inherit cmake pkgconfig
34 34
35MEDIA_DRIVER_ARCH_x86 = "32" 35MEDIA_DRIVER_ARCH:x86 = "32"
36MEDIA_DRIVER_ARCH_x86-64 = "64" 36MEDIA_DRIVER_ARCH:x86-64 = "64"
37 37
38EXTRA_OECMAKE += " \ 38EXTRA_OECMAKE += " \
39 -DMEDIA_RUN_TEST_SUITE=OFF \ 39 -DMEDIA_RUN_TEST_SUITE=OFF \
@@ -41,12 +41,12 @@ EXTRA_OECMAKE += " \
41 -DMEDIA_BUILD_FATAL_WARNINGS=OFF \ 41 -DMEDIA_BUILD_FATAL_WARNINGS=OFF \
42 " 42 "
43 43
44CXXFLAGS_append_x86 = " -D_FILE_OFFSET_BITS=64 -D_LARGEFILE_SOURCE" 44CXXFLAGS:append:x86 = " -D_FILE_OFFSET_BITS=64 -D_LARGEFILE_SOURCE"
45 45
46do_configure_prepend_toolchain-clang() { 46do_configure:prepend:toolchain-clang() {
47 sed -i -e '/-fno-tree-pre/d' ${S}/media_driver/cmake/linux/media_compile_flags_linux.cmake 47 sed -i -e '/-fno-tree-pre/d' ${S}/media_driver/cmake/linux/media_compile_flags_linux.cmake
48} 48}
49 49
50FILES_${PN} += " \ 50FILES:${PN} += " \
51 ${libdir}/dri/ \ 51 ${libdir}/dri/ \
52 " 52 "
diff --git a/recipes-multimedia/libva/intel-vaapi-driver_2.4.1.bb b/recipes-multimedia/libva/intel-vaapi-driver_2.4.1.bb
index ccb9fec1..5038d8d7 100644
--- a/recipes-multimedia/libva/intel-vaapi-driver_2.4.1.bb
+++ b/recipes-multimedia/libva/intel-vaapi-driver_2.4.1.bb
@@ -29,6 +29,6 @@ PACKAGECONFIG ??= "${@bb.utils.contains("DISTRO_FEATURES", "x11", "x11", "", d)}
29PACKAGECONFIG[x11] = "-Dwith_x11=yes, -Dwith_x11=no" 29PACKAGECONFIG[x11] = "-Dwith_x11=yes, -Dwith_x11=no"
30PACKAGECONFIG[wayland] = "-Dwith_wayland=yes, -Dwith_wayland=no, wayland wayland-native virtual/egl" 30PACKAGECONFIG[wayland] = "-Dwith_wayland=yes, -Dwith_wayland=no, wayland wayland-native virtual/egl"
31 31
32FILES_${PN} += "${libdir}/dri/*.so" 32FILES:${PN} += "${libdir}/dri/*.so"
33FILES_${PN}-dev += "${libdir}/dri/*.la" 33FILES:${PN}-dev += "${libdir}/dri/*.la"
34FILES_${PN}-dbg += "${libdir}/dri/.debug" 34FILES:${PN}-dbg += "${libdir}/dri/.debug"
diff --git a/recipes-multimedia/libyami/libyami_1.3.2.bb b/recipes-multimedia/libyami/libyami_1.3.2.bb
index c8628141..1eff8c9b 100644
--- a/recipes-multimedia/libyami/libyami_1.3.2.bb
+++ b/recipes-multimedia/libyami/libyami_1.3.2.bb
@@ -13,7 +13,7 @@ SRC_URI = "git://github.com/intel/libyami.git;branch=apache \
13SRCREV = "08606d0a43e0ef15e5b61cc13563169370ce8715" 13SRCREV = "08606d0a43e0ef15e5b61cc13563169370ce8715"
14S = "${WORKDIR}/git" 14S = "${WORKDIR}/git"
15 15
16CXXFLAGS_append = " -Wno-error" 16CXXFLAGS:append = " -Wno-error"
17 17
18PACKAGECONFIG ??= "${@bb.utils.contains("DISTRO_FEATURES", "x11", "x11", "", d)}" 18PACKAGECONFIG ??= "${@bb.utils.contains("DISTRO_FEATURES", "x11", "x11", "", d)}"
19PACKAGECONFIG[x11] = "--enable-x11,--disable-x11,virtual/libx11 libxrandr libxrender" 19PACKAGECONFIG[x11] = "--enable-x11,--disable-x11,virtual/libx11 libxrandr libxrender"
diff --git a/recipes-multimedia/mediasdk/intel-mediasdk_21.2.3.bb b/recipes-multimedia/mediasdk/intel-mediasdk_21.2.3.bb
index 75faad35..acdc83e3 100644
--- a/recipes-multimedia/mediasdk/intel-mediasdk_21.2.3.bb
+++ b/recipes-multimedia/mediasdk/intel-mediasdk_21.2.3.bb
@@ -12,7 +12,7 @@ CVE_DETAILS = "intel:media_sdk"
12 12
13# Only for 64 bit until media-driver issues aren't fixed 13# Only for 64 bit until media-driver issues aren't fixed
14COMPATIBLE_HOST = '(x86_64).*-linux' 14COMPATIBLE_HOST = '(x86_64).*-linux'
15COMPATIBLE_HOST_x86-x32 = "null" 15COMPATIBLE_HOST:x86-x32 = "null"
16 16
17inherit features_check 17inherit features_check
18REQUIRED_DISTRO_FEATURES = "opengl" 18REQUIRED_DISTRO_FEATURES = "opengl"
@@ -44,17 +44,17 @@ inherit cmake pkgconfig
44 44
45EXTRA_OECMAKE += "-DMFX_INCLUDE=${S}/api/include" 45EXTRA_OECMAKE += "-DMFX_INCLUDE=${S}/api/include"
46 46
47do_install_append() { 47do_install:append() {
48 mv ${D}${datadir}/mfx/samples ${D}${libdir}/mfx/samples 48 mv ${D}${datadir}/mfx/samples ${D}${libdir}/mfx/samples
49} 49}
50 50
51PACKAGE_BEFORE_PN = " ${PN}-samples" 51PACKAGE_BEFORE_PN = " ${PN}-samples"
52 52
53FILES_${PN} += " \ 53FILES:${PN} += " \
54 ${libdir}/mfx \ 54 ${libdir}/mfx \
55 ${datadir}/mfx/plugins.cfg \ 55 ${datadir}/mfx/plugins.cfg \
56 " 56 "
57 57
58FILES_${PN}-samples = "${libdir}/mfx/samples" 58FILES:${PN}-samples = "${libdir}/mfx/samples"
59 59
60INSANE_SKIP_${PN}-samples += "staticdev" 60INSANE_SKIP:${PN}-samples += "staticdev"
diff --git a/recipes-multimedia/onevpl/onevpl-intel-gpu_21.3.0.bb b/recipes-multimedia/onevpl/onevpl-intel-gpu_21.3.0.bb
index 21293584..cf63f842 100644
--- a/recipes-multimedia/onevpl/onevpl-intel-gpu_21.3.0.bb
+++ b/recipes-multimedia/onevpl/onevpl-intel-gpu_21.3.0.bb
@@ -12,7 +12,7 @@ PE = "1"
12 12
13# Only for 64 bit 13# Only for 64 bit
14COMPATIBLE_HOST = '(x86_64).*-linux' 14COMPATIBLE_HOST = '(x86_64).*-linux'
15COMPATIBLE_HOST_x86-x32 = "null" 15COMPATIBLE_HOST:x86-x32 = "null"
16 16
17DEPENDS += "libdrm libva intel-media-driver onevpl" 17DEPENDS += "libdrm libva intel-media-driver onevpl"
18 18
diff --git a/recipes-multimedia/onevpl/onevpl_2021.4.0.bb b/recipes-multimedia/onevpl/onevpl_2021.4.0.bb
index cefa38bd..cdd22a34 100644
--- a/recipes-multimedia/onevpl/onevpl_2021.4.0.bb
+++ b/recipes-multimedia/onevpl/onevpl_2021.4.0.bb
@@ -24,12 +24,12 @@ COMPATIBLE_HOST = '(x86_64).*-linux'
24 24
25PACKAGES =+ "${PN}-examples" 25PACKAGES =+ "${PN}-examples"
26 26
27FILES_${PN}-examples = "${datadir}/oneVPL/examples \ 27FILES:${PN}-examples = "${datadir}/oneVPL/examples \
28 " 28 "
29 29
30FILES_SOLIBSDEV = "" 30FILES_SOLIBSDEV = ""
31FILES_${PN}-dev += "${libdir}/libvpl.so" 31FILES:${PN}-dev += "${libdir}/libvpl.so"
32 32
33FILES_${PN} += "${datadir} \ 33FILES:${PN} += "${datadir} \
34 ${libdir}/libvpl_wayland.so \ 34 ${libdir}/libvpl_wayland.so \
35 " 35 "
diff --git a/recipes-selftest/images/secureboot-selftest-image-unsigned.bb b/recipes-selftest/images/secureboot-selftest-image-unsigned.bb
index e03e7b47..d0fa6405 100644
--- a/recipes-selftest/images/secureboot-selftest-image-unsigned.bb
+++ b/recipes-selftest/images/secureboot-selftest-image-unsigned.bb
@@ -1,12 +1,12 @@
1require recipes-core/images/core-image-minimal.bb 1require recipes-core/images/core-image-minimal.bb
2 2
3DEPENDS_remove = "grub-efi" 3DEPENDS:remove = "grub-efi"
4 4
5inherit uefi-comboapp 5inherit uefi-comboapp
6 6
7WKS_FILE = "generic-bootdisk.wks.in" 7WKS_FILE = "generic-bootdisk.wks.in"
8 8
9do_uefiapp_deploy_append() { 9do_uefiapp_deploy:append() {
10 for i in ${DEPLOY_DIR_IMAGE}/${IMAGE_LINK_NAME}.boot*.efi; do 10 for i in ${DEPLOY_DIR_IMAGE}/${IMAGE_LINK_NAME}.boot*.efi; do
11 target=`basename $i` 11 target=`basename $i`
12 target=`echo $target | sed -e 's/${IMAGE_LINK_NAME}.//'` 12 target=`echo $target | sed -e 's/${IMAGE_LINK_NAME}.//'`
diff --git a/recipes-support/jhi/jhi_1.41.bb b/recipes-support/jhi/jhi_1.41.bb
index badb928f..d8b807c0 100644
--- a/recipes-support/jhi/jhi_1.41.bb
+++ b/recipes-support/jhi/jhi_1.41.bb
@@ -14,20 +14,20 @@ S = "${WORKDIR}/git"
14 14
15DEPENDS += "util-linux libxml2" 15DEPENDS += "util-linux libxml2"
16DEPENDS += "${@bb.utils.contains('DISTRO_FEATURES', 'systemd', 'systemd', '', d)}" 16DEPENDS += "${@bb.utils.contains('DISTRO_FEATURES', 'systemd', 'systemd', '', d)}"
17RDEPENDS_${PN} += "bash" 17RDEPENDS:${PN} += "bash"
18 18
19USERADD_PACKAGES = "${PN}" 19USERADD_PACKAGES = "${PN}"
20USERADD_PARAM_${PN} = "--system --no-create-home --shell /bin/false -g mei jhi" 20USERADD_PARAM:${PN} = "--system --no-create-home --shell /bin/false -g mei jhi"
21 21
22GROUPADD_PARAM_${PN} = "-g 880 mei" 22GROUPADD_PARAM:${PN} = "-g 880 mei"
23 23
24COMPATIBLE_HOST_libc-musl = 'null' 24COMPATIBLE_HOST:libc-musl = 'null'
25 25
26SYSTEMD_SERVICE_${PN} = "jhi.service" 26SYSTEMD_SERVICE:${PN} = "jhi.service"
27 27
28INITSCRIPT_PACKAGES = "${PN}" 28INITSCRIPT_PACKAGES = "${PN}"
29INITSCRIPT_NAME_${PN} = "${PN}" 29INITSCRIPT_NAME:${PN} = "${PN}"
30INITSCRIPT_PARAMS_${PN} = "defaults" 30INITSCRIPT_PARAMS:${PN} = "defaults"
31 31
32# systemd is the default so they are installed when sysvinit is not selected as INIT_SYSTEM 32# systemd is the default so they are installed when sysvinit is not selected as INIT_SYSTEM
33EXTRA_OECMAKE = "-DCMAKE_SKIP_RPATH=ON \ 33EXTRA_OECMAKE = "-DCMAKE_SKIP_RPATH=ON \
@@ -36,7 +36,7 @@ EXTRA_OECMAKE = "-DCMAKE_SKIP_RPATH=ON \
36 -DAPP_REPO_DIR=${localstatedir}/cache/dal/applet_repository \ 36 -DAPP_REPO_DIR=${localstatedir}/cache/dal/applet_repository \
37 " 37 "
38 38
39do_install_append () { 39do_install:append () {
40 install -d ${D}${localstatedir}/cache/dal/applet_repository 40 install -d ${D}${localstatedir}/cache/dal/applet_repository
41 41
42 chown -R jhi ${D}${localstatedir}/cache/dal/applet_repository 42 chown -R jhi ${D}${localstatedir}/cache/dal/applet_repository
@@ -52,9 +52,9 @@ do_install_append () {
52 52
53PACKAGES += "${PN}-test" 53PACKAGES += "${PN}-test"
54 54
55FILES_${PN}-dev = "" 55FILES:${PN}-dev = ""
56 56
57FILES_${PN} = "\ 57FILES:${PN} = "\
58 ${sbindir} \ 58 ${sbindir} \
59 ${sysconfdir} \ 59 ${sysconfdir} \
60 ${libdir}/lib*${SOLIBSDEV} \ 60 ${libdir}/lib*${SOLIBSDEV} \
@@ -64,7 +64,7 @@ FILES_${PN} = "\
64 ${localstatedir}/cache/dal/applet_repository \ 64 ${localstatedir}/cache/dal/applet_repository \
65 " 65 "
66 66
67FILES_${PN}-test = "\ 67FILES:${PN}-test = "\
68 ${bindir} \ 68 ${bindir} \
69 ${libdir}/dal/applets \ 69 ${libdir}/dal/applets \
70 " 70 "
diff --git a/recipes-support/libipt/libipt_2.0.4.bb b/recipes-support/libipt/libipt_2.0.4.bb
index a0554f44..6d59654b 100644
--- a/recipes-support/libipt/libipt_2.0.4.bb
+++ b/recipes-support/libipt/libipt_2.0.4.bb
@@ -21,7 +21,7 @@ EXTRA_OECMAKE += " \
21 -DCMAKE_SKIP_RPATH=ON \ 21 -DCMAKE_SKIP_RPATH=ON \
22 " 22 "
23 23
24do_install_append() { 24do_install:append() {
25 install -d ${D}${bindir}/libipt 25 install -d ${D}${bindir}/libipt
26 install -d ${D}${bindir}/libipt/tests 26 install -d ${D}${bindir}/libipt/tests
27 27
@@ -29,5 +29,5 @@ do_install_append() {
29 cp -r ${WORKDIR}/git/test/src/* ${D}${bindir}/libipt/tests 29 cp -r ${WORKDIR}/git/test/src/* ${D}${bindir}/libipt/tests
30} 30}
31 31
32FILES_${PN}-test = "${bindir}" 32FILES:${PN}-test = "${bindir}"
33PACKAGES =+ "${PN}-test" 33PACKAGES =+ "${PN}-test"
diff --git a/recipes-support/sbsigntool/sbsigntool-native_git.bb b/recipes-support/sbsigntool/sbsigntool-native_git.bb
index f6094a5c..1db77561 100644
--- a/recipes-support/sbsigntool/sbsigntool-native_git.bb
+++ b/recipes-support/sbsigntool/sbsigntool-native_git.bb
@@ -37,7 +37,7 @@ S = "${WORKDIR}/git"
37inherit autotools pkgconfig 37inherit autotools pkgconfig
38inherit native 38inherit native
39 39
40do_configure_prepend() { 40do_configure:prepend() {
41 cd ${S} 41 cd ${S}
42 42
43 sed -i s#RECIPE_SYSROOT#${RECIPE_SYSROOT_NATIVE}#g configure.ac 43 sed -i s#RECIPE_SYSROOT#${RECIPE_SYSROOT_NATIVE}#g configure.ac