From 9a380b942aa9d1047ac01be35ca088c6c6603516 Mon Sep 17 00:00:00 2001 From: Dalon Westergreen Date: Wed, 9 Mar 2016 09:48:30 -0800 Subject: Use KBUILD_DEFCONFIG instead of in recipe kernel metadata for kernel configuration. Use of in kernel source defconfigs will create tested configurations of the kernel, and still allow additional features to be enabled using cfg files --- .../config/socfpga-base/bsp/arria10-standard.scc | 5 - .../config/socfpga-base/bsp/arria5-standard.scc | 5 - .../config/socfpga-base/bsp/cyclone5-standard.scc | 5 - .../bsp/include/cyclone5_arria5_arria10.scc | 8 -- .../linux/config/socfpga-base/cfg/blk.cfg | 5 - .../linux/config/socfpga-base/cfg/fs.cfg | 31 ----- .../linux/config/socfpga-base/cfg/leds.cfg | 6 - .../linux/config/socfpga-base/cfg/mtd.cfg | 6 - .../linux/config/socfpga-base/cfg/net.cfg | 34 ------ .../linux/config/socfpga-base/cfg/rtc.cfg | 6 - .../linux/config/socfpga-base/cfg/usb.cfg | 7 -- .../socfpga-base/features/altera/altera-ip.cfg | 40 ------- .../socfpga-base/features/altera/altera-ip.scc | 5 - .../socfpga-base/features/altera/arria10-ip.cfg | 4 - .../socfpga-base/features/altera/arria10-ip.scc | 5 - .../socfpga-base/features/altera/board-common.cfg | 27 ----- .../socfpga-base/features/altera/board-common.scc | 5 - .../features/altera/cyclone5_arria5-arm.cfg | 13 --- .../features/altera/cyclone5_arria5-ip.cfg | 122 -------------------- .../features/altera/cyclone5_arria5-ip.scc | 5 - .../socfpga-base/ktypes/standard/standard.cfg | 128 --------------------- .../socfpga-base/ktypes/standard/standard.scc | 10 -- recipes-kernel/linux/linux-altera-configs.inc | 6 +- 23 files changed, 2 insertions(+), 486 deletions(-) delete mode 100644 recipes-kernel/linux/config/socfpga-base/bsp/arria10-standard.scc delete mode 100644 recipes-kernel/linux/config/socfpga-base/bsp/arria5-standard.scc delete mode 100644 recipes-kernel/linux/config/socfpga-base/bsp/cyclone5-standard.scc delete mode 100644 recipes-kernel/linux/config/socfpga-base/bsp/include/cyclone5_arria5_arria10.scc delete mode 100644 recipes-kernel/linux/config/socfpga-base/cfg/blk.cfg delete mode 100644 recipes-kernel/linux/config/socfpga-base/cfg/fs.cfg delete mode 100644 recipes-kernel/linux/config/socfpga-base/cfg/leds.cfg delete mode 100644 recipes-kernel/linux/config/socfpga-base/cfg/mtd.cfg delete mode 100644 recipes-kernel/linux/config/socfpga-base/cfg/net.cfg delete mode 100644 recipes-kernel/linux/config/socfpga-base/cfg/rtc.cfg delete mode 100644 recipes-kernel/linux/config/socfpga-base/cfg/usb.cfg delete mode 100644 recipes-kernel/linux/config/socfpga-base/features/altera/altera-ip.cfg delete mode 100644 recipes-kernel/linux/config/socfpga-base/features/altera/altera-ip.scc delete mode 100644 recipes-kernel/linux/config/socfpga-base/features/altera/arria10-ip.cfg delete mode 100644 recipes-kernel/linux/config/socfpga-base/features/altera/arria10-ip.scc delete mode 100644 recipes-kernel/linux/config/socfpga-base/features/altera/board-common.cfg delete mode 100644 recipes-kernel/linux/config/socfpga-base/features/altera/board-common.scc delete mode 100644 recipes-kernel/linux/config/socfpga-base/features/altera/cyclone5_arria5-arm.cfg delete mode 100644 recipes-kernel/linux/config/socfpga-base/features/altera/cyclone5_arria5-ip.cfg delete mode 100644 recipes-kernel/linux/config/socfpga-base/features/altera/cyclone5_arria5-ip.scc delete mode 100644 recipes-kernel/linux/config/socfpga-base/ktypes/standard/standard.cfg delete mode 100644 recipes-kernel/linux/config/socfpga-base/ktypes/standard/standard.scc diff --git a/recipes-kernel/linux/config/socfpga-base/bsp/arria10-standard.scc b/recipes-kernel/linux/config/socfpga-base/bsp/arria10-standard.scc deleted file mode 100644 index f768b01..0000000 --- a/recipes-kernel/linux/config/socfpga-base/bsp/arria10-standard.scc +++ /dev/null @@ -1,5 +0,0 @@ -define KMACHINE arria10 -define KTYPE standard -define KARCH arm - -include bsp/include/cyclone5_arria5_arria10.scc diff --git a/recipes-kernel/linux/config/socfpga-base/bsp/arria5-standard.scc b/recipes-kernel/linux/config/socfpga-base/bsp/arria5-standard.scc deleted file mode 100644 index f263369..0000000 --- a/recipes-kernel/linux/config/socfpga-base/bsp/arria5-standard.scc +++ /dev/null @@ -1,5 +0,0 @@ -define KMACHINE arria5 -define KTYPE standard -define KARCH arm - -include bsp/include/cyclone5_arria5_arria10.scc diff --git a/recipes-kernel/linux/config/socfpga-base/bsp/cyclone5-standard.scc b/recipes-kernel/linux/config/socfpga-base/bsp/cyclone5-standard.scc deleted file mode 100644 index e9f98eb..0000000 --- a/recipes-kernel/linux/config/socfpga-base/bsp/cyclone5-standard.scc +++ /dev/null @@ -1,5 +0,0 @@ -define KMACHINE cyclone5 -define KTYPE standard -define KARCH arm - -include bsp/include/cyclone5_arria5_arria10.scc diff --git a/recipes-kernel/linux/config/socfpga-base/bsp/include/cyclone5_arria5_arria10.scc b/recipes-kernel/linux/config/socfpga-base/bsp/include/cyclone5_arria5_arria10.scc deleted file mode 100644 index 5f37d3c..0000000 --- a/recipes-kernel/linux/config/socfpga-base/bsp/include/cyclone5_arria5_arria10.scc +++ /dev/null @@ -1,8 +0,0 @@ -include ktypes/standard/standard.scc - -include features/altera/cyclone5_arria5-ip.scc -include features/altera/arria10-ip.scc -include features/altera/altera-ip.scc -include features/altera/board-common.scc -kconfig hardware features/altera/cyclone5_arria5-arm.cfg - diff --git a/recipes-kernel/linux/config/socfpga-base/cfg/blk.cfg b/recipes-kernel/linux/config/socfpga-base/cfg/blk.cfg deleted file mode 100644 index d7f07a7..0000000 --- a/recipes-kernel/linux/config/socfpga-base/cfg/blk.cfg +++ /dev/null @@ -1,5 +0,0 @@ -CONFIG_BLK_DEV_SD=y -CONFIG_BLK_DEV_INITRD=y -CONFIG_BLK_DEV_LOOP=y -CONFIG_BLK_DEV_RAM=y -CONFIG_BLK_DEV_RAM_SIZE=16384 diff --git a/recipes-kernel/linux/config/socfpga-base/cfg/fs.cfg b/recipes-kernel/linux/config/socfpga-base/cfg/fs.cfg deleted file mode 100644 index feb8761..0000000 --- a/recipes-kernel/linux/config/socfpga-base/cfg/fs.cfg +++ /dev/null @@ -1,31 +0,0 @@ - -CONFIG_VFAT_FS=y -CONFIG_FAT_FS=y -CONFIG_FAT_DEFAULT_CODEPAGE=437 -CONFIG_FAT_DEFAULT_IOCHARSET="iso8859-1" - -CONFIG_NTFS_FS=y -CONFIG_NTFS_RW=y - - -CONFIG_EXT2_FS=y -CONFIG_EXT2_FS_XATTR=y -CONFIG_EXT2_FS_POSIX_ACL=y -CONFIG_JFFS2_FS=y -CONFIG_JFFS2_SUMMARY=y - -CONFIG_EXT3_FS=y -CONFIG_EXT4_FS=y - -CONFIG_NFS_FS=y -CONFIG_ROOT_NFS=y -CONFIG_NFS_V4=y -CONFIG_NFS_USE_KERNEL_DNS=y -CONFIG_SUNRPC_GSS=y - -CONFIG_TMPFS=y - -CONFIG_DEVTMPFS=y -CONFIG_DEVTMPFS_MOUNT=y -CONFIG_CONFIGFS_FS=y - diff --git a/recipes-kernel/linux/config/socfpga-base/cfg/leds.cfg b/recipes-kernel/linux/config/socfpga-base/cfg/leds.cfg deleted file mode 100644 index 93d1f7e..0000000 --- a/recipes-kernel/linux/config/socfpga-base/cfg/leds.cfg +++ /dev/null @@ -1,6 +0,0 @@ -CONFIG_NEW_LEDS=y -CONFIG_LEDS_CLASS=y -CONFIG_LEDS_GPIO=y -CONFIG_LEDS_TRIGGERS=y -CONFIG_LEDS_TRIGGER_TIMER=y -CONFIG_LEDS_TRIGGER_CPU=y diff --git a/recipes-kernel/linux/config/socfpga-base/cfg/mtd.cfg b/recipes-kernel/linux/config/socfpga-base/cfg/mtd.cfg deleted file mode 100644 index 05e6f2a..0000000 --- a/recipes-kernel/linux/config/socfpga-base/cfg/mtd.cfg +++ /dev/null @@ -1,6 +0,0 @@ -CONFIG_MTD=y -CONFIG_MTD_CMDLINE_PARTS=y -CONFIG_MTD_BLOCK=y -CONFIG_MTD_PHYSMAP=y -CONFIG_MTD_PHYSMAP_OF=y -CONFIG_MTD_NAND=y diff --git a/recipes-kernel/linux/config/socfpga-base/cfg/net.cfg b/recipes-kernel/linux/config/socfpga-base/cfg/net.cfg deleted file mode 100644 index 8d27c8d..0000000 --- a/recipes-kernel/linux/config/socfpga-base/cfg/net.cfg +++ /dev/null @@ -1,34 +0,0 @@ -CONFIG_NET=y -CONFIG_PACKET=y -CONFIG_UNIX=y -CONFIG_INET=y -CONFIG_IP_MULTICAST=y -CONFIG_IP_PNP=y -CONFIG_IP_PNP_DHCP=y -CONFIG_IP_PNP_BOOTP=y -CONFIG_IP_PNP_RARP=y -CONFIG_NET_IPIP=m -CONFIG_VLAN_8021Q=m -CONFIG_XFRM_ALGO=y -CONFIG_XFRM_MIGRATE=y -CONFIG_NET_KEY=y -CONFIG_NET_KEY_MIGRATE=y -CONFIG_NET_IP_TUNNEL=y -CONFIG_INET_TUNNEL=y - -CONFIG_IPV6=y -CONFIG_INET6_XFRM_MODE_TRANSPORT=y -CONFIG_INET6_XFRM_MODE_TUNNEL=y -CONFIG_INET6_XFRM_MODE_BEET=y -CONFIG_IPV6_SIT=y - -CONFIG_NETWORK_PHY_TIMESTAMPING=y - -CONFIG_STP=y -CONFIG_GARP=y -CONFIG_VLAN_8021Q=y -CONFIG_VLAN_8021Q_GVRP=y -CONFIG_LLC=y -CONFIG_DNS_RESOLVER=y - -CONFIG_NETDEVICES=y diff --git a/recipes-kernel/linux/config/socfpga-base/cfg/rtc.cfg b/recipes-kernel/linux/config/socfpga-base/cfg/rtc.cfg deleted file mode 100644 index 9e11f40..0000000 --- a/recipes-kernel/linux/config/socfpga-base/cfg/rtc.cfg +++ /dev/null @@ -1,6 +0,0 @@ -CONFIG_RTC_CLASS=y -CONFIG_RTC_SYSTOHC=y -CONFIG_RTC_HCTOSYS_DEVICE="rtc0" -CONFIG_RTC_INTF_SYSFS=y -CONFIG_RTC_INTF_PROC=y -CONFIG_RTC_INTF_DEV=y diff --git a/recipes-kernel/linux/config/socfpga-base/cfg/usb.cfg b/recipes-kernel/linux/config/socfpga-base/cfg/usb.cfg deleted file mode 100644 index 112a280..0000000 --- a/recipes-kernel/linux/config/socfpga-base/cfg/usb.cfg +++ /dev/null @@ -1,7 +0,0 @@ -CONFIG_USB_STORAGE=y -CONFIG_USB_GADGET=y -CONFIG_USB_GADGET_VBUS_DRAW=2 -CONFIG_USB_GADGET_STORAGE_NUM_BUFFERS=2 -CONFIG_USB_LIBCOMPOSITE=m -CONFIG_USB_MASS_STORAGE=m -CONFIG_USB_ZERO=m diff --git a/recipes-kernel/linux/config/socfpga-base/features/altera/altera-ip.cfg b/recipes-kernel/linux/config/socfpga-base/features/altera/altera-ip.cfg deleted file mode 100644 index c16f049..0000000 --- a/recipes-kernel/linux/config/socfpga-base/features/altera/altera-ip.cfg +++ /dev/null @@ -1,40 +0,0 @@ - -# Serial/UART -CONFIG_SERIAL_ALTERA_JTAGUART=m -CONFIG_SERIAL_ALTERA_UART=m -CONFIG_SERIAL_ALTERA_UART_MAXPORTS=4 -CONFIG_SERIAL_ALTERA_UART_BAUDRATE=115200 - -# Watchdog -CONFIG_WATCHDOG=y - -# Ethernet -CONFIG_ALTERA_TSE=m - -# GPIO -CONFIG_GPIOLIB=y -CONFIG_OF_GPIO=y -CONFIG_GPIO_SYSFS=y -CONFIG_GPIO_ALTERA=m - -# SPI -CONFIG_SPI=y -CONFIG_SPI_ALTERA=m -CONFIG_SPI_BITBANG=m - -# Video -CONFIG_FB=y -CONFIG_FB_CFB_FILLRECT=m -CONFIG_FB_CFB_COPYAREA=m -CONFIG_FB_CFB_IMAGEBLIT=m -CONFIG_FB_ALTERA_VIP=m - -# Mailbox -CONFIG_MAILBOX=y -CONFIG_ALTERA_MBOX=m - -# Misc -CONFIG_ALTERA_HWMUTEX=m -CONFIG_ALTERA_SYSID=m -CONFIG_ALTERA_ILC=m - diff --git a/recipes-kernel/linux/config/socfpga-base/features/altera/altera-ip.scc b/recipes-kernel/linux/config/socfpga-base/features/altera/altera-ip.scc deleted file mode 100644 index 42ae19c..0000000 --- a/recipes-kernel/linux/config/socfpga-base/features/altera/altera-ip.scc +++ /dev/null @@ -1,5 +0,0 @@ -define KFEATURE_DESCRIPTION "Altera Soft IP Core Drivers/Support" -define KFEATURE_COMPATIBILITY board - -kconfig hardware altera-ip.cfg - diff --git a/recipes-kernel/linux/config/socfpga-base/features/altera/arria10-ip.cfg b/recipes-kernel/linux/config/socfpga-base/features/altera/arria10-ip.cfg deleted file mode 100644 index bdd369d..0000000 --- a/recipes-kernel/linux/config/socfpga-base/features/altera/arria10-ip.cfg +++ /dev/null @@ -1,4 +0,0 @@ -CONFIG_FPGA_MGR_SOCFPGA_A10=y -CONFIG_GPIO_A10SYCON=y -CONFIG_SENSORS_A10SYCON=y -CONFIG_A10SYCON_RESET=y diff --git a/recipes-kernel/linux/config/socfpga-base/features/altera/arria10-ip.scc b/recipes-kernel/linux/config/socfpga-base/features/altera/arria10-ip.scc deleted file mode 100644 index 0e82bfa..0000000 --- a/recipes-kernel/linux/config/socfpga-base/features/altera/arria10-ip.scc +++ /dev/null @@ -1,5 +0,0 @@ -define KFEATURE_DESCRIPTION "Altera 20nm SoC IP Core Drivers/Support" -define KFEATURE_COMPATIBILITY board - -kconfig hardware arria10-ip.cfg - diff --git a/recipes-kernel/linux/config/socfpga-base/features/altera/board-common.cfg b/recipes-kernel/linux/config/socfpga-base/features/altera/board-common.cfg deleted file mode 100644 index c5a989d..0000000 --- a/recipes-kernel/linux/config/socfpga-base/features/altera/board-common.cfg +++ /dev/null @@ -1,27 +0,0 @@ -# Ethernet PHYs -CONFIG_PHYLIB=y -CONFIG_MARVELL_PHY=y -CONFIG_MICREL_PHY=y - -# EEPROM -CONFIG_EEPROM_AT24=y - -# MTD -CONFIG_MTD=y -CONFIG_MTD_OF_PARTS=y - -# RTC drivers -CONFIG_RTC_DRV_DS1307=y - -CONFIG_NEWHAVEN_LCD=y - -CONFIG_PMBUS=y -CONFIG_SENSORS_LTC2978=y - -CONFIG_MTD_M25P80=y -CONFIG_M25PXX_USE_FAST_READ=y - -CONFIG_SENSORS_MAX1619=y - -CONFIG_I2C_CHARDEV=y -CONFIG_SPI_SPIDEV=y diff --git a/recipes-kernel/linux/config/socfpga-base/features/altera/board-common.scc b/recipes-kernel/linux/config/socfpga-base/features/altera/board-common.scc deleted file mode 100644 index 15d070c..0000000 --- a/recipes-kernel/linux/config/socfpga-base/features/altera/board-common.scc +++ /dev/null @@ -1,5 +0,0 @@ -define KFEATURE_DESCRIPTION "Evaluation Board Common Drivers" -define KFEATURE_COMPATIBILITY board - -kconfig hardware board-common.cfg - diff --git a/recipes-kernel/linux/config/socfpga-base/features/altera/cyclone5_arria5-arm.cfg b/recipes-kernel/linux/config/socfpga-base/features/altera/cyclone5_arria5-arm.cfg deleted file mode 100644 index 50ddab8..0000000 --- a/recipes-kernel/linux/config/socfpga-base/features/altera/cyclone5_arria5-arm.cfg +++ /dev/null @@ -1,13 +0,0 @@ -# ARM -CONFIG_ARCH_SOCFPGA=y -CONFIG_ARM_THUMBEE=y -CONFIG_SWP_EMULATE=y -CONFIG_ARM_CPU_TOPOLOGY=y - -CONFIG_VFP=y -CONFIG_VFPv3=y -CONFIG_NEON=y - -CONFIG_AEABI=y -CONFIG_OABI_COMPAT=y - diff --git a/recipes-kernel/linux/config/socfpga-base/features/altera/cyclone5_arria5-ip.cfg b/recipes-kernel/linux/config/socfpga-base/features/altera/cyclone5_arria5-ip.cfg deleted file mode 100644 index a3171ad..0000000 --- a/recipes-kernel/linux/config/socfpga-base/features/altera/cyclone5_arria5-ip.cfg +++ /dev/null @@ -1,122 +0,0 @@ -# FPGA BRIDGE - done -CONFIG_FPGA_BRIDGE=y -CONFIG_ALTERA_SOCFPGA_BRIDGE=y - -# FPGA MANAGER - done -CONFIG_FPGA=y -CONFIG_FPGA_MGR_ALTERA=y - - -# UART - done -CONFIG_SERIAL_8250=y -CONFIG_SERIAL_8250_CONSOLE=y -CONFIG_SERIAL_8250_NR_UARTS=2 -CONFIG_SERIAL_8250_RUNTIME_UARTS=2 -CONFIG_SERIAL_8250_DW=y -CONFIG_SERIAL_CORE=y -CONFIG_SERIAL_CORE_CONSOLE=y -CONFIG_CONSOLE_POLL=y - -# SPI -CONFIG_SPI=y -CONFIG_SPI_CADENCE_QSPI=y -CONFIG_SPI_DESIGNWARE=y -CONFIG_SPI_DW_MMIO=y - -# SRAM -CONFIG_SRAM=y - -# DMA - done -# CONFIG_DMADEVICES=y -# CONFIG_PL330_DMA=y - -# PL310 - done -CONFIG_OUTER_CACHE=y -CONFIG_OUTER_CACHE_SYNC=y -CONFIG_CACHE_L2X0=y -CONFIG_CACHE_PL310=y - -# Watchdog - done -CONFIG_WATCHDOG=y -CONFIG_DW_WATCHDOG=y - -# Ethernet - done -CONFIG_NET_VENDOR_STMICRO=y -CONFIG_STMMAC_ETH=y -CONFIG_STMMAC_PLATFORM=y -CONFIG_STMMAC_DEBUG_FS=y -CONFIG_STMMAC_RING=y -CONFIG_FIXED_PHY=y - -# GPIO - done -CONFIG_GPIO_SYSFS=y -CONFIG_GPIOLIB=y -CONFIG_OF_GPIO=y -CONFIG_GPIO_GENERIC=y -CONFIG_GPIO_DWAPB=y - -# I2C - done -CONFIG_I2C=y -CONFIG_I2C_DESIGNWARE_CORE=y -CONFIG_I2C_DESIGNWARE_PLATFORM=y - -# CAN - done -CONFIG_CAN=y -CONFIG_CAN_C_CAN=y -CONFIG_CAN_C_CAN_PLATFORM=y - -# MMC/SD - done -CONFIG_MMC=y -CONFIG_MMC_DW=y -CONFIG_MMC_DW_IDMAC=y -CONFIG_MMC_DW_PLTFM=y -CONFIG_MMC_DW_SOCFPGA=y - -# NAND - done -CONFIG_MTD_NAND=y -CONFIG_MTD_NAND_ECC=y -CONFIG_MTD_NAND_DENALI=y -CONFIG_MTD_NAND_DENALI_DT=y -CONFIG_MTD_NAND_IDS=y - -# USB (+otg) - done -CONFIG_USB_SUPPORT=y -CONFIG_USB_COMMON=y -CONFIG_USB_ARCH_HAS_HCD=y -CONFIG_USB=y -CONFIG_USB_ANNOUNCE_NEW_DEVICES=y -CONFIG_USB_DEFAULT_PERSIST=y -CONFIG_USB_DWC2_PLATFORM=y -CONFIG_USB_DWC2=y -CONFIG_USB_DWC2_DUAL_ROLE=y -CONFIG_USB_PHY=y -CONFIG_NOP_USB_XCEIV=y - - -# Timer - -# SERIAL -CONFIG_SERIAL=y -CONFIG_SERIAL_CONSOLE=y -CONFIG_SERIAL_8250=y -CONFIG_SERIAL_8250_DEPRECATED_OPTIONS=y -CONFIG_SERIAL_8250_CONSOLE=y -CONFIG_SERIAL_8250_DMA=y -CONFIG_SERIAL_8250_NR_UARTS=2 -CONFIG_SERIAL_8250_RUNTIME_UARTS=2 - -# CAN - done -CONFIG_CAN=y -CONFIG_CAN_C_CAN=y -CONFIG_CAN_C_CAN_PLATFORM=y - -# EDAC -CONFIG_EDAC=y -CONFIG_EDAC_LEGACY_SYSFS=y -# CONFIG_EDAC_DEBUG is not set -CONFIG_EDAC_MM_EDAC=y -CONFIG_EDAC_ALTERA_MC=y -CONFIG_EDAC_ALTERA_ECC_MGR=y -CONFIG_EDAC_ALTERA_L2_ECC=y -CONFIG_EDAC_ALTERA_OCRAM_ECC=y -CONFIG_EDAC_ALTERA_NAND_ECC=y diff --git a/recipes-kernel/linux/config/socfpga-base/features/altera/cyclone5_arria5-ip.scc b/recipes-kernel/linux/config/socfpga-base/features/altera/cyclone5_arria5-ip.scc deleted file mode 100644 index ce889cc..0000000 --- a/recipes-kernel/linux/config/socfpga-base/features/altera/cyclone5_arria5-ip.scc +++ /dev/null @@ -1,5 +0,0 @@ -define KFEATURE_DESCRIPTION "Altera 28nm SoC IP Core Drivers/Support" -define KFEATURE_COMPATIBILITY board - -kconfig hardware cyclone5_arria5-ip.cfg - diff --git a/recipes-kernel/linux/config/socfpga-base/ktypes/standard/standard.cfg b/recipes-kernel/linux/config/socfpga-base/ktypes/standard/standard.cfg deleted file mode 100644 index 6fd3094..0000000 --- a/recipes-kernel/linux/config/socfpga-base/ktypes/standard/standard.cfg +++ /dev/null @@ -1,128 +0,0 @@ -CONFIG_PRINTK=y -CONFIG_EARLY_PRINTK=y -CONFIG_LOG_BUF_SHIFT=14 - -CONFIG_SYSVIPC=y -CONFIG_SYSCTL_SYSCALL=y - -CONFIG_FHANDLE=y - -CONFIG_PREEMPT_NONE=y - -CONFIG_AUDIT=y - -CONFIG_IKCONFIG=y -CONFIG_IKCONFIG_PROC=y - -CONFIG_EMBEDDED=y -CONFIG_HIGH_RES_TIMERS=y -CONFIG_PERF_EVENTS=y -CONFIG_SLAB=y -CONFIG_SWAP=y -CONFIG_TIMER_STATS=y -CONFIG_RCU_CPU_STALL_TIMEOUT=60 - -CONFIG_CPUSETS=y -CONFIG_PROC_PID_CPUSET=y - -CONFIG_NAMESPACES=y -CONFIG_UTS_NS=y -CONFIG_IPC_NS=y -CONFIG_PID_NS=y -CONFIG_NET_NS=y - -CONFIG_MODULES=y -CONFIG_MODULE_UNLOAD=y - -CONFIG_CGROUPS=y - -CONFIG_SLUB_DEBUG=y -CONFIG_SLUB=y -CONFIG_PROFILING=y -CONFIG_TRACEPOINTS=y -CONFIG_OPROFILE=y - -CONFIG_DEFAULT_NOOP=y -CONFIG_DEFAULT_IOSCHED="noop" - -CONFIG_MDIO_BITBANG=y - -CONFIG_CAN_DEBUG_DEVICES=y - -CONFIG_UEVENT_HELPER_PATH="/sbin/hotplug" - -CONFIG_CONNECTOR=y - -CONFIG_MEMORY=y - -CONFIG_RD_XZ=y - -CONFIG_NLS=y -CONFIG_NLS_CODEPAGE_437=y -CONFIG_NLS_ASCII=y -CONFIG_NLS_ISO8859_1=y - -CONFIG_INPUT=y -CONFIG_INPUT_SPARSEKMAP=y -CONFIG_INPUT_EVDEV=y - -CONFIG_CLKSRC_OF=y -CONFIG_COMMON_CLK_DEBUG=y - -CONFIG_SMP=y -CONFIG_SMP_ON_UP=y - -CONFIG_VMSPLIT_2G=y - -CONFIG_LOCAL_TIMERS=y -CONFIG_SCHED_HRTICK=y - -CONFIG_PM_SLEEP_SMP=y - -CONFIG_PROC_DEVICETREE=y - -CONFIG_SCSI=y -CONFIG_SCSI_DMA=y - -CONFIG_SERIO_AMBAKMI=y - -CONFIG_LEGACY_PTYS=y -CONFIG_LEGACY_PTY_COUNT=16 - -CONFIG_GENERIC_PHY=y - -CONFIG_MAGIC_SYSRQ=y - -CONFIG_DETECT_HUNG_TASK=y -CONFIG_DEFAULT_HUNG_TASK_TIMEOUT=120 -CONFIG_BOOTPARAM_HUNG_TASK_PANIC_VALUE=0 - -CONFIG_STACKTRACE=y - -CONFIG_DEBUG_INFO=y -CONFIG_FRAME_POINTER=y - -CONFIG_RCU_CPU_STALL_TIMEOUT=21 -CONFIG_NOP_TRACER=y -CONFIG_TRACE_CLOCK=y -CONFIG_RING_BUFFER=y -CONFIG_EVENT_TRACING=y -CONFIG_CONTEXT_SWITCH_TRACER=y -CONFIG_RING_BUFFER_ALLOW_SWAP=y -CONFIG_TRACING=y -CONFIG_GENERIC_TRACER=y - -CONFIG_FUNCTION_TRACER=y -CONFIG_FUNCTION_GRAPH_TRACER=y - -CONFIG_DYNAMIC_FTRACE=y -CONFIG_FTRACE_MCOUNT_RECORD=y - -CONFIG_OLD_MCOUNT=y -CONFIG_DEBUG_USER=y - -CONFIG_BINARY_PRINTF=y - - - - diff --git a/recipes-kernel/linux/config/socfpga-base/ktypes/standard/standard.scc b/recipes-kernel/linux/config/socfpga-base/ktypes/standard/standard.scc deleted file mode 100644 index 0414a30..0000000 --- a/recipes-kernel/linux/config/socfpga-base/ktypes/standard/standard.scc +++ /dev/null @@ -1,10 +0,0 @@ -# Standard linux-xlnx base configuration - -kconf non-hardware standard.cfg -kconf non-hardware cfg/blk.cfg -kconf non-hardware cfg/fs.cfg -kconf non-hardware cfg/leds.cfg -kconf non-hardware cfg/mtd.cfg -kconf non-hardware cfg/net.cfg -kconf non-hardware cfg/rtc.cfg -kconf non-hardware cfg/usb.cfg diff --git a/recipes-kernel/linux/linux-altera-configs.inc b/recipes-kernel/linux/linux-altera-configs.inc index 5354773..fb9763c 100644 --- a/recipes-kernel/linux/linux-altera-configs.inc +++ b/recipes-kernel/linux/linux-altera-configs.inc @@ -1,5 +1,3 @@ -FILESEXTRAPATHS_prepend := "${THISDIR}/config:" -SRC_URI_append += " \ - file://socfpga-base;type=kmeta;destsuffix=socfpga-base \ - " +KBUILD_DEFCONFIG ?= "socfpga_defconfig" + -- cgit v1.2.3-54-g00ecf